Mask Resist Contains Organic Compound Patents (Class 216/49)
  • Patent number: 8709265
    Abstract: Provided is a touch panel manufacturing method wherein the number of exposure masks needed for pattern formation is reduced, and a method for manufacturing a display device provided with a touch panel. A transparent conductive film layer (11) and a metal layer (12) are laminated on a transparent substrate (1), and the transparent conductive film layer (11) and the metal layer (12) are formed into predetermined electrode patterns, with use of one resist pattern. A protective film (13) covering the transparent conductive film layer (11) and the metal layer (12) is formed, and openings (14, 15, and 16) are provided at predetermined positioned in the protective film (13). By etching with use of the protective film (13) having the openings (14, 15, and 16), the metal layer (12) is removed so that the transparent conductive film layer (11) is exposed, whereby at least either touch electrodes (2) or connection terminals (5) are formed.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: April 29, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Katsunori Misaki
  • Patent number: 8703000
    Abstract: A slimming method includes transferring an object to be processed on which a patterned carbon-containing thin film is formed into a process chamber in an oxidation apparatus; and oxidizing and removing the surface of the carbon-containing thin film by an oxidizing gas while supplying moisture into the process chamber, to reduce widths of the protruded portions on the pattern of the carbon-containing thin film.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: April 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Sato, Masayuki Hasegawa
  • Patent number: 8685264
    Abstract: A slide member includes a substrate that is made of a metal material and has a sliding surface with a plurality of microdimples formed therein. Each of the microdimple has a circular opening, the microdimples are arranged in regular intervals in a hexagonal close-packed configuration, and the area ratio of the openings of all the microdimples to the entire sliding surface is in the range of 50 to 80%.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: April 1, 2014
    Assignees: Toyota Jidosha Kabushiki Kaisha, Tohoku University
    Inventors: Masataka Kaido, Atsushi Suzuki, Masatsugu Shimomura, Hiroshi Yabu, Yuji Hirai
  • Patent number: 8679357
    Abstract: Droplets of resist material are coated using the ink jet method under conditions that: the viscosity of the resist material is within a range from 8 cP to 20 cP, the surface energy of the resist material is within a range from 25 mN/m to 35 mN/m, the amount of resist material in each of the droplets is within a range from 1 pl to 10 pl, and the placement intervals among the droplets are within a range from 10 ?m to 1000 ?m. A mold is pressed against the surface of the substrate in a He and/or a depressurized atmosphere such that: an intersection angle formed between a main scanning direction of the ink jet method and the direction of the lines of the linear pattern of protrusions and recesses, which is an intersection angle when pressing the mold against the surface of the substrate, is within a range from 30° to 90°.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: March 25, 2014
    Assignee: Fujifilm Corporation
    Inventors: Satoshi Wakamatsu, Tadashi Omatsu
  • Publication number: 20140072830
    Abstract: The disclosure relates generally to a method for fabricating a patterned medium. The method includes providing a substrate with an exterior layer under a lithographically patterned surface layer, the lithographically patterned surface layer comprising a first pattern in a first region and a second pattern in a second region, applying a first masking material over the first region, transferring the second pattern into the exterior layer in the second region, forming self-assembled block copolymer structures over the lithographically patterned surface layer, the self-assembled block copolymer structures aligning with the first pattern in the first region, applying a second masking material over the second region, transferring the polymer block pattern into the exterior layer in the first region, and etching the substrate according to the second pattern transferred to the exterior layer in the second region and the polymer block pattern transferred to the exterior layer in the first region.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 13, 2014
    Inventors: Jeffrey S. Lille, Kurt A. Rubin, Ricardo Ruiz, Lei Wan
  • Publication number: 20140061154
    Abstract: A method includes forming a hydrophilic guide layer, a DBARC layer and a photoresist film. A portion of the photoresist film and DBARC layer is exposed to form exposed and unexposed portions. The unexposed photoresist film is removed to form a photoresist pattern including the exposed photoresist film portion. A neutral layer is formed on the photoresist pattern. The photoresist pattern and the DBARC layer of the exposed portion are removed to form first opening portions exposing the guide layer. A block copolymer layer includes a block copolymer having first and second polymer blocks coated on the neutral layer while filling the first opening portions. The block copolymer layer is microphase separated to form a pattern layer including first and second patterns. A pattern including one polymer block is removed to form a pattern mask. The object layer is etched to form a pattern including second opening portions.
    Type: Application
    Filed: May 30, 2013
    Publication date: March 6, 2014
    Inventors: Eun-Sung KIM, Jae-Woo NAM, Chul-Ho SHIN, Shi-Yong YI
  • Publication number: 20140054265
    Abstract: A method of forming a fine pattern, including: a phase separation step in which a layer containing a block copolymer having a plurality of blocks bonded is formed on a substrate, and then the layer is heated for phase separation of the layer; a decomposition step in which at least a portion of a phase of at least one block of the plurality of blocks constituting the block copolymer is decomposed; a selective removal step in which the layer is immersed in a developing solution to selectively remove a phase containing decomposed blocks to form a nano structure; and an etching step in which the substrate is subjected to etching by using the nano structure as a mask; and a main component of the developing solution is an organic solvent having an SP value of 7.5 to 11.5 (cal/cm3)1/2, and having vapor pressure of less than 2.1 kPa at 25° C., or is benzene that may be substituted by an alkyl group, an alkoxy group, or a halogen atom, and the developing solution further contains metal alkoxide.
    Type: Application
    Filed: June 26, 2013
    Publication date: February 27, 2014
    Applicants: Tokyo Ohka Kogyo Co., Ltd., Riken
    Inventors: Shigenori Fujikawa, Harumi Hayakawa, Takahiro Senzaki, Ken Miyagi
  • Patent number: 8658050
    Abstract: Techniques for minimizing or eliminating pattern deformation during lithographic pattern transfer to inorganic substrates are provided. In one aspect, a method for pattern transfer into an inorganic substrate is provided. The method includes the following steps. The inorganic substrate is provided. An organic planarizing layer is spin-coated on the inorganic substrate. The organic planarizing layer is baked. A hardmask is deposited onto the organic planarizing layer. A photoresist layer is spin-coated onto the hardmask. The photoresist layer is patterned. The hardmask is etched through the patterned photoresist layer using reactive ion etching (RIE). The organic planarizing layer is etched through the etched hardmask using RIE. A high-temperature anneal is performed in the absence of oxygen. The inorganic substrate is etched through the etched organic planarizing layer using reactive ion etching.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sebastian Ulrich Engelmann, Martin Glodde, Michael A. Guillorn
  • Publication number: 20140048512
    Abstract: A composition for forming a resist underlayer film includes a polymer having a repeating unit represented by a following formula (1), and a solvent. R1 represents a hydroxy group, or the like. n is an integer of 0 to 5. X represents a divalent hydrocarbon group having 1 to 20 carbon atoms or an alkanediyloxy group having 1 to 20 carbon atoms. m is an integer of 1 to 7. A sum of m and n is no greater than 7. R2 represents a single bond or an alkanediyl group having 1 to 4 carbon atoms. R3 represents an alicyclic group having 4 to 20 carbon atoms or an arylene group having 6 to 30 carbon atoms. A part or all of hydrogen atoms included in the alicyclic group or the arylene group represented by R3 are unsubstituted or substituted.
    Type: Application
    Filed: October 24, 2013
    Publication date: February 20, 2014
    Applicant: JSR CORPORATION
    Inventors: Shin-ya NAKAFUJI, Shin-ya MINEGISHI, Takanori NAKANO
  • Patent number: 8641914
    Abstract: Methods for fabricating arrays of nanoscaled alternating lamellae or cylinders in a polymer matrix having improved long range order utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: February 4, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Jennifer Kahl Regner
  • Patent number: 8641913
    Abstract: A method includes applying a final etch-resistant material to an in-process substrate so that the final etch-resistant material at least partially covers first microcontact portions integral with the substrate and projecting upwardly from a surface of the substrate, and etching the surface of the substrate so as to leave second microcontact portions below the first microcontact portions and integral therewith, the final etch-resistant material at least partially protecting the first microcontact portions from etching during the further etching step. A microelectronic unit includes a substrate, and a plurality of microcontacts projecting in a vertical direction from the substrate, each microcontact including a base region adjacent the substrate and a tip region remote from the substrate, each microcontact having a horizontal dimension which is a first function of vertical location in the base region and which is a second function of vertical location in the tip region.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: February 4, 2014
    Assignee: Tessera, Inc.
    Inventors: Belgacem Haba, Yoichi Kubota, Teck-Gyu Kang, Jae M. Park
  • Patent number: 8623229
    Abstract: Some embodiments relate to a method for processing a workpiece. In the method, a first photoresist layer is provided over the workpiece, wherein the first photoresist layer has a first photoresist tone. The first photoresist layer is patterned to provide a first opening exposing a first portion of the workpiece. A second photoresist layer is then provided over the patterned first photoresist layer, wherein the second photoresist layer has a second photoresist tone opposite the first photoresist tone. The second photoresist layer is then patterned to provide a second opening that at least partially overlaps the first opening to define a coincidentally exposed workpiece region. A treatment is then performed on the coincidentally exposed workpiece region. Other embodiments are also disclosed.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: January 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Chang Chen, Shih-Chi Fu, Wang-Pen Mo, Hung Chang Hsieh
  • Patent number: 8623223
    Abstract: A method using directed self-assembly of BCPs enables the making of a master disk for nanoimprinting magnetic recording disks that have patterned data islands and patterned binary encoded nondata marks. The method uses guided self-assembly of a BCP to form patterns of sets of radial lines and circumferential gaps of one of the BCP components, which can be used as an etch mask to make the master disk. The sets of radial lines and circumferential gaps can be patterned so as to encode binary numbers. The pattern is replicated as binary encoded nondata marks into the nanoimprinted disks, with the marks functioning as binary numbers for data sector numbers and/or servo sector numbers. If the disks also use a chevron servo pattern, the binary numbers can function to identify groups of tracks associated with the chevron servo pattern.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: January 7, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Elizabeth Ann Dobisz, Jeffrey S. Lille, Guoliang Liu, Ricardo Ruiz, Gabriel Zeltzer
  • Patent number: 8609221
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures arrays including openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. In some embodiments, the films can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: December 17, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald Westmoreland, Gurtej Sandhu
  • Patent number: 8597769
    Abstract: There are provided an etching mask which has a superior thermal imprinting characteristic and also a good anti-etching characteristic, a base material with the etching mask, a microfabricated product to which those etching mask and base material are applied, and a production method of the microfabricated product. The etching mask formed of a thermoplastic resin containing at least one kind of skeleton expressed by a chemical formula (1) or a chemical formula (2) in a main chain wherein R1, R2, R3, R4, R5, R6, R7, R8 in the formulae (1), (2) can be different or same one another, each of which is a hydrogen atom, a deuterium atom, a hydrocarbon group having a carbon number of 1 to 15, a halogen atom, or a substituent group containing a hetero atom like oxygen or sulfur, and may form a ring structure one another and wherein m and n are integers equal to or greater than 0.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: December 3, 2013
    Assignee: Maruzen Petrochemical Co. Ltd.
    Inventors: Yoshiaki Takaya, Takuro Satsuka, Yoshihisa Hayashida, Takahisa Kusuura, Anupam Mitra
  • Publication number: 20130309457
    Abstract: A method for patterning a layered structure is provided that includes performing photolithography to provide a developed prepattern layer on a horizontal surface of an underlying substrate, modifying the prepattern layer to form spaced apart inorganic material guides, casting and annealing a layer of a self-assembling block copolymer to form laterally-spaced cylindrical features, forming a pattern by selectively removing at least a portion of one block of the self-assembling block copolymer, and transferring the pattern to the underlying substrate. The method is suitable for making sub-50 nm patterned layered structures.
    Type: Application
    Filed: May 15, 2012
    Publication date: November 21, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Benjamen M. Rathsack, Mark H. Somervell, Meenakshisundaram Gandhi
  • Patent number: 8580127
    Abstract: An RFID based thermal bubble type accelerometer includes a flexible substrate, an embedded system on chip (SOC) unit, an RFID antenna formed on the substrate and coupled to a modulation/demodulation module in the SOC unit, a cavity formed on the flexible substrate, and a plurality of sensing assemblies, including a heater and two temperature-sensing elements, disposed along the x-axis direction and suspended over the cavity. The two temperature-sensing elements, serially connected, are separately disposed at two opposite sides and at substantially equal distances from the heater. Two sets of sensing assemblies can be connected in differential Wheatstone bridge. The series-connecting points of the sensing assemblies are coupled to the SOC unit such that an x-axis acceleration can be obtained by a voltage difference between the connecting points. The x-axis acceleration can be sent by the RFID antenna to a reader after it is is modulated and encoded by the modulation/demodulation module.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: November 12, 2013
    Assignee: Chung Hua University
    Inventor: Jium Ming Lin
  • Patent number: 8580128
    Abstract: To provide an electromagnetic-wave shielding plate superior in an electromagnetic-wave shielding property, a light-transmitting property and non-visibility of a mesh pattern at low cost. A pattern of a resin layer is printed on a metal layer of a transparent substrate by a printing method. After that, the metal layer is over-etched with the resin layer used as an etching mask, and a part of the resin layer protruding from the remaining metal layer in a plate-surface direction is removed. As a result, an electromagnetic-wave shielding plate superior in an electromagnetic-wave shielding property and non-visibility and having, for example, a pattern line width of 3 ?m or more and 25 ?m or less.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: November 12, 2013
    Assignee: Toray Industries, Inc.
    Inventors: Osamu Watanabe, Tadashi Yoshioka, Kazuki Goto, Takayoshi Ueba
  • Publication number: 20130288021
    Abstract: A resin composition for photoimprinting, a cured product of the resin composition which is excellent in etching and heat resistance, and a pattern forming process using the resin composition are provided. The resin composition contains photocurable monomer (A) containing at least one carbazole compound of formula (I): a photocurable monomer (B) containing at least one compound of the following formulae (II), (III), and (IV): and a photopolymerization initiator (C). The weight ratio of the photocurable monomer (A) to the photocurable monomer (B) is from 30/70 to 87/13.
    Type: Application
    Filed: December 2, 2011
    Publication date: October 31, 2013
    Applicant: Maruzen Petrochemical Co., Ltd.
    Inventors: Yoshihisa Hayashida, Takuro Satsuka, Teruyo Ikeda, Norio Futaesaku, Toshifumi Takemori
  • Patent number: 8562844
    Abstract: Block copolymers can be self-assembled and used in methods as described herein for sub-lithographic patterning, for example. The block copolymers can be diblock copolymers, triblock copolymers, multiblock copolymers, or combinations thereof. Such methods can be useful for making devices that include, for example, sub-lithographic conductive lines.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 22, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Publication number: 20130270226
    Abstract: Block copolymers can be self-assembled and used in methods as described herein for sub-lithographic patterning, for example. The block copolymers can be diblock copolymers, triblock copolymers, multiblock copolymers, or combinations thereof. Such methods can be useful for making devices that include, for example, sub-lithographic conductive lines.
    Type: Application
    Filed: June 7, 2013
    Publication date: October 17, 2013
    Inventor: Dan Millward
  • Patent number: 8557128
    Abstract: Methods for fabricating sub-lithographic, nanoscale microchannels utilizing an aqueous emulsion of an amphiphilic agent and a water-soluble, hydrogel-forming polymer, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: October 15, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Publication number: 20130264307
    Abstract: A method for making a strip shaped graphene layer includes the steps of: first, a graphene film is located on a surface of a substrate is provided. Second, a drawn carbon nanotube film composite is disposed on the graphene film. The drawn carbon nanotube film composite includes a polymer material and a drawn carbon nanotube film structure disposed in the polymer material. The drawn carbon nanotube film structure includes a plurality of carbon nanotube segments and a plurality of strip-shaped gaps between the adjacent carbon nanotube segments. Third, the polymer material is partly removed to expose the plurality of carbon nanotube segments. Forth, the plurality of carbon nanotube segments and the graphene film covered by the plurality of carbon nanotube segments is etched. Fifth, the remained polymer material is removed to obtain the strip shaped graphene layer.
    Type: Application
    Filed: December 29, 2012
    Publication date: October 10, 2013
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., TSINGHUA UNIVERSITY
    Inventors: XIAO-YANG LIN, KAI-LI JIANG, SHOU-SHAN FAN
  • Publication number: 20130263847
    Abstract: In a method of producing trench-like depressions (24) in the surface of a wafer (27), particularly a silicon wafer, by plasma etching, in which the depressions (24) are produced by alternate passivation and etching, each depression (24) in its final geometry is provided with a protective layer (30) of the polytetrafluoroethylene type.
    Type: Application
    Filed: April 4, 2013
    Publication date: October 10, 2013
    Applicant: BOEHRINGER INGELHEIM MICROPARTS GMBH
    Inventor: Klaus KADEL
  • Publication number: 20130266727
    Abstract: A method is disclosed involving depositing a neutral orientation template layer onto a substrate after formation of chemical epitaxy or graphoepitaxy features on the substrate, but before deposition and orientation of a self-assemblable polymer. The orientation layer is arranged to bond with the substrate but not with certain features, so that it may be easily removed by vacuum or rinsing with organic solvent. The neutral orientation layer has a chemical affinity to match that of blocks in the self-assemblable polymer so that blocks of differing types wet the neutral orientation layer so that domains in the self-assembled polymer may lie side by side along the substrate surface, with interfaces normal to the substrate surface. The resulting aligned and oriented self-assembled polymer may itself be used as a resist for device lithography of the substrate.
    Type: Application
    Filed: December 9, 2011
    Publication date: October 10, 2013
    Inventors: Emiel Peeters, Wilhelmus Sebastianus Marcus Maria Ketelaars, Johan Frederik Dijksman, Sander Frederik Wuister, Roelof Koole, Christianus Martinus Van Heesch
  • Patent number: 8551349
    Abstract: A method for producing a magnetic recording medium having a magnetically partitioned magnetic recording pattern on at least one surface of a nonmagnetic substrate, characterized by comprising a step of reacting portions of a magnetic layer, formed on the non-magnetic substrate, with ozone to modify magnetic properties of said portions of the magnetic layer for forming the magnetically partitioned magnetic recording pattern. The magnetic layer can be a two-layer structure comprising a magnetic layer having a granular structure and formed thereon a magnetic layer having a non-granular structure. The produced magnetic recording medium exhibits a greatly enhanced recording density while recording/reproducing characteristics equal to or better than those of the heretofore proposed magnetic recording mediums are maintained, and it can be produced with an enhanced efficiency.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: October 8, 2013
    Assignee: Showa Denko K.K.
    Inventors: Masato Fukushima, Akira Sakawaki, Akira Yamane
  • Publication number: 20130256265
    Abstract: Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.
    Type: Application
    Filed: May 24, 2013
    Publication date: October 3, 2013
    Applicant: UChicago Argonne LLC
    Inventors: Seth B. Darling, Jeffrey W. Elam, Yu-Chih Tseng
  • Publication number: 20130256264
    Abstract: A composition for forming a resist underlayer film includes a polysiloxane, and a solvent composition. The solvent composition includes an organic solvent which includes a compound represented by the following formula (1) or a carbonate compound and which has a standard boiling point of no less than 150.0° C. R1 and R2 each independently represent a hydrogen atom, an alkyl group having 1 to 4 carbon atoms or an acyl group having 1 to 4 carbon atoms. R3 represents a hydrogen atom or a methyl group. n is an integer of 1 to 4. In a case where n is no less than 2, a plurality of R3s are identical or different.
    Type: Application
    Filed: March 29, 2013
    Publication date: October 3, 2013
    Applicant: JSR CORPORATION
    Inventors: Hiromitsu TANAKA, Junya SUZUKI, Masayuki MOTONARI, Tooru KIMURA
  • Publication number: 20130251618
    Abstract: A method for making semiconducting single walled carbon nanotubes (SWCNTs) includes providing a substrate. A single walled carbon nanotube film including a plurality of metallic SWCNTs and semiconducting SWCNTs is located on the substrate. A macromolecule material layer is located on the single walled carbon nanotube film to cover the single walled carbon nanotube film. The macromolecule material layer, the single walled carbon nanotube film and the substrate are placed in an environment filled with electromagnetic waves. The macromolecule material layer covering the plurality of the metallic SWCNTs is melted or decomposed to expose the plurality of metallic SWCNTs. The metallic SWCNTs and the macromolecule material layer covering the semiconducting SWCNTs are removed.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 26, 2013
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., TSINGHUA UNIVERSITY
    Inventors: JIE LI, KAI-LI JIANG, SHOU-SHAN FAN
  • Publication number: 20130240481
    Abstract: The present invention relates to a composition including: a component (A) being a block copolymer including a block PA bonded to one, or two or more blocks incompatible with the block PA and whose etching selectivity to the block PA is greater than one; and a component (B) being at least one polymer selected from the group consisting of a random copolymer and a homopolymer, wherein the polymer of the component (B) is compatible with at least one block other than the block PA within the blocks constituting the block copolymer of the component (A), and is incompatible with the block PA.
    Type: Application
    Filed: March 7, 2013
    Publication date: September 19, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takahiro Senzaki, Ken Miyagi, Kenichiro Miyashita
  • Patent number: 8536270
    Abstract: To provide a resist composition including: at least one polymerizable compound having a viscosity of 100 mPa·s or less at 25° C.; a fluorine-containing compound A having a viscosity of 5,000 mPa·s or greater at 25° C., and a fluorine content of 10% by mass or greater; and a fluorine-containing compound B having a viscosity of 2,000 mPa·s or less at 25° C., and a fluorine content of 10% by mass or greater.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: September 17, 2013
    Assignee: FujiFilm
    Inventor: Tadashi Omatsu
  • Patent number: 8535544
    Abstract: A method of fabricating a material having nanoscale pores is provided. In one embodiment, the method of fabricating a material having nanoscale pores may include providing a single crystal semiconductor. The single crystal semiconductor layer is then patterned to provide an array of exposed portions of the single crystal semiconductor layer having a width that is equal to the minimum lithographic dimension. The array of exposed portion of the single crystal semiconductor layer is then etched using an etch chemistry having a selectivity for a first crystal plane to a second crystal plane of 100% or greater. The etch process forms single or an array of trapezoid shaped pores, each of the trapezoid shaped pores having a base that with a second width that is less than the minimum lithographic dimension.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chengwen Pei, Zhengwen Li
  • Patent number: 8535549
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The hardmask is removed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Qian Fu, Ce Qin, Hyun-Yong Yu
  • Patent number: 8529779
    Abstract: A method for producing surface features and an etch masking method. A combination is provided of a block copolymer and additional material. The block copolymer includes a first block of a first polymer covalently bonded to a second block of a second polymer. The additional material is miscible with the first polymer. A film is formed of the combination directly onto a surface of a first layer. Nanostructures of the additional material self-assemble within the first polymer block. The film of the combination and the first layer are etched. The nanostructures have an etch rate lower than an etch rate of the block copolymer and lower than an etch rate of the first layer. The film is removed and features remain on the surface of the first layer. Also included is an etch masking method where the nanostructures mask portions of the first layer from said etchant.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: September 10, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Mark W. Hart, Hiroshi Ito, Ho-Cheol Kim, Robert Miller
  • Patent number: 8529778
    Abstract: Methods for creating nano-shaped patterns are described. This approach may be used to directly pattern substrates and/or create imprint lithography molds that may be subsequently used to directly replicate nano-shaped patterns into other substrates in a high throughput process.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: September 10, 2013
    Assignees: Molecular Imprints, Inc., Board of Regents, The University of Texas System
    Inventors: Sidlgata V. Sreenivasan, Shuqiang Yang, Frank Y. Xu, Dwayne L. LaBrake
  • Patent number: 8518275
    Abstract: Methods for fabricating sub-lithographic, nanoscale microstructures in line arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: August 27, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald Westmoreland
  • Patent number: 8518278
    Abstract: A method of drying a substrate comprises: supplying a first air flow 4 downwardly in an inclined direction onto the substrate; supplying a second air flow 5 upwardly in an inclined direction onto the substrate, while moving relatively the substrate and the upper and lower blowing portions so that the substrate, from the end area as a front of the substrate, passes between the upper blowing portion and the lower blowing portion; and controlling the first and second air flows such that a velocity component of the second air flow in an upward direction perpendicular to the virtual plane is smaller than a velocity component of the first air flow in a downward direction perpendicular to the virtual plane.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: August 27, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazushige Utsumi
  • Publication number: 20130213932
    Abstract: A resist layer made of oxonol-based dye, and the OD value of which is greater than or equal to 1.0 and less than or equal to 1.6 with respect to light having the wavelength of 580 nm, is formed on a substrate. The formed resist layer is scanned with a laser beam at a scan speed of higher than or equal to 3 m/s and lower than or equal to 10 m/s. A ring-shaped pattern is formed by developing the resist layer scanned with the laser beam using a developer containing alcohol as a main component.
    Type: Application
    Filed: March 27, 2013
    Publication date: August 22, 2013
    Applicant: FUJIFILM Corporation
    Inventor: FUJIFILM Corporation
  • Publication number: 20130213931
    Abstract: A method for forming a pattern is provided. A photoresist layer constituted by organic dye, which is capable of deformation in a heat mode, is formed on a substrate. A laser beam is irradiated onto the photoresist layer, to form hole portions in the photoresist layer at portions onto which the laser beam is irradiated. The photoresist layer is etched within a vacuum using a predetermined gas, following the step in which the hole portions are formed in the photoresist layer.
    Type: Application
    Filed: March 26, 2013
    Publication date: August 22, 2013
    Applicant: FUJIFILM Corporation
    Inventor: FUJIFILM Corporation
  • Patent number: 8512582
    Abstract: A method of patterning a substrate in accordance with an embodiment of the invention includes forming a plurality of openings within at least one of photoresist and amorphous carbon. The openings are of common outermost cross sectional shape relative one another. Individual of the openings have at least one lateral open dimension having a degree of variability among the plurality. The photoresist with the plurality of openings is exposed to/treated with a plasma effective to both increase the lateral open size of the openings and at least reduce the degree of variability of said at least one open dimension among the openings. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: August 20, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Brett W. Busch, Tuman Earl Allen
  • Publication number: 20130209755
    Abstract: Disclosed herein is a method of manufacturing self assembled structures that have lamellae or cylinders whose longitudinal axis is parallel or perpendicular to a surface upon which the self assembled structure is disposed. The method comprises disposing a random copolymer on the substrate to form a surface modification layer and disposing a block copolymer on the surface modification layer. The block copolymer is then subjected to etching.
    Type: Application
    Filed: February 15, 2012
    Publication date: August 15, 2013
    Inventors: Phillip Dene Hustad, Peter Trefonas, III, Shih-Wei Chang, Erin Beth Vogel
  • Patent number: 8501020
    Abstract: A method for making a three-dimensional nano-structure array includes following steps. First, a substrate is provided. Next, a mask is formed on the substrate. The mask is a monolayer nanosphere array or a film defining a number of holes arranged in an array. The mask is then tailored and simultaneously the substrate is etched by the mask. Lastly, the mask is removed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: August 6, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 8491965
    Abstract: A method of orienting microphase-separated domains is disclosed, comprising applying a composition comprising an orientation control component, and a block copolymer assembly component comprising a block copolymer having at least two microphase-separated domains in which the orientation control component is substantially immiscible with the block copolymer assembly component upon forming a film; and forming a compositionally vertically segregated film on the surface of the substrate from the composition. The orientation control component and block copolymer segregate during film forming to form the compositionally vertically-segregated film on the surface of a substrate, where the orientation control component is enriched adjacent to the surface of the compositionally segregated film adjacent to the surface of the substrate, and the block copolymer assembly is enriched at an air-surface interface.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Ho-Cheol Kim, Daniel P. Sanders, Linda Sundberg
  • Patent number: 8486278
    Abstract: Embodiments of method of manufacturing an implantable pump, including providing an upper layer comprising a dome structure for housing a drug chamber and a cannula in fluid communication with the drug chamber, providing a middle deflection layer adjacent the drug chamber, providing a bottom layer comprising electrolysis electrodes, and bonding the upper layer, middle deflection layer, and bottom layer to form the pump.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: July 16, 2013
    Assignee: MiniPumps, LLC
    Inventors: Changlin Pang, Fukang Jiang, Jason Shih, Sean Caffey, Mark Humayun, Yu-Chong Tai
  • Publication number: 20130168351
    Abstract: A slide member includes a substrate that is made of a metal material and has a sliding surface with a plurality of microdimples formed therein. Each of the microdimple has a circular opening, the microdimples are arranged in regular intervals in a hexagonal close-packed configuration, and the area ratio of the openings of all the microdimples to the entire sliding surface is in the range of 50 to 80%.
    Type: Application
    Filed: September 12, 2011
    Publication date: July 4, 2013
    Applicants: TOHOKU UNIVERSITY, TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Masataka Kaido, Atsushi Suzuki, Masatsugu Shimomura, Hiroshi Yabu, Yuji Hirai
  • Patent number: 8470189
    Abstract: In the present invention, provided is a method of forming a mask pattern by which a fine thin film pattern may be formed more easily with higher resolution and precision. In the method of forming a mask pattern, a photoresist pattern having an opening is formed on a substrate, then, an inorganic film is formed so as to cover the upper surface of the photoresist pattern and the inside of the opening, then the inorganic film on the upper surface of the photoresist pattern is removed by a dry etching process. Subsequently, an inorganic mask pattern is formed by removing the photoresist pattern. The inorganic mask pattern thus formed hardly produces an issue of deformation such as physical displacement even when it is heated in the dry etching process.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: June 25, 2013
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Hideyuki Yatsu, Hitoshi Hatate
  • Publication number: 20130126473
    Abstract: A method using directed self-assembly of BCPs enables the making of a master disk for nanoimprinting magnetic recording disks that have patterned data islands and patterned binary encoded nondata marks. The method uses guided self-assembly of a BCP to form patterns of sets of radial lines and circumferential gaps of one of the BCP components, which can be used as an etch mask to make the master disk. The sets of radial lines and circumferential gaps can be patterned so as to encode binary numbers. The pattern is replicated as binary encoded nondata marks into the nanoimprinted disks, with the marks functioning as binary numbers for data sector numbers and/or servo sector numbers. If the disks also use a chevron servo pattern, the binary numbers can function to identify groups of tracks associated with the chevron servo pattern.
    Type: Application
    Filed: November 18, 2011
    Publication date: May 23, 2013
    Inventors: Elizabeth Ann Dobisz, Jeffrey S. Lille, Guoliang Liu, Ricardo Ruiz, Gabriel Zeltzer
  • Publication number: 20130126466
    Abstract: A method for producing a dielectric layer on the surface of a component is described. In particular embodiments, a dielectric layer having a planar surface can be generated over a substrate topography having raised structures. In a trimming process, a component property, which depends on the thickness or the third topography of the dielectric layer, is adjusted.
    Type: Application
    Filed: April 13, 2011
    Publication date: May 23, 2013
    Applicant: EPCOS AG
    Inventors: Charles Binninger, Christoph Eggs, Bruno Fuerbacher, Ulrich Knauer, Manfred Maisch, Helmut Zottl
  • Patent number: 8444867
    Abstract: A method for forming patterns on a wafer includes forming a fence having a sloped face in an edge portion of the wafer. The sloped face is direct to an inside of the wafer. A first photoresist layer is formed which extends to cover the fence on the wafer. First photoresist patterns are formed by performing a first exposure and development on the first photoresist layer. An etch process is performed using the first photoresist patterns and the fence as an etch mask. The fence is formed by selectively exposing a negative resist using a light shielding blade, and at this time, the first photoresist layer is formed including a positive resist.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: May 21, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Jo Yang
  • Patent number: 8444869
    Abstract: A method and apparatus for cleaning a wafer. The wafer is heated and moved to a processing station within the apparatus that has a platen either permanently in a platen down position or is transferable from a platen up position to the platen down position. The wafer is positioned over the platen so as not to contact the platen and provide a gap between the platen and wafer. The gap may be generated by positioning the platen in a platen down position. A plasma flows into the gap to enable the simultaneous removal of material from the wafer front side, backside and edges. The apparatus may include a single processing station having the gap residing therein, or the apparatus may include a plurality of processing stations, each capable of forming the gap therein for simultaneously removing additional material from the wafer front side, backside and edges.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung