Mask Resist Contains Organic Compound Patents (Class 216/49)
  • Publication number: 20130115732
    Abstract: Multi-crystalline silicon processing techniques are provided. In one aspect, a method for roughening a multi-crystalline silicon surface is provided. The method includes the following steps. The multi-crystalline silicon surface is coated with a diblock copolymer. The diblock copolymer is annealed to form nanopores therein. The multi-crystalline silicon surface is etched through the nanopores in the diblock copolymer to roughen the multi-crystalline silicon surface. The diblock copolymer is removed. A multi-crystalline silicon substrate with a roughened surface having a plurality of peaks and troughs is also provided, wherein a distance from one peak to an adjacent peak on the roughened surface is from about 20 nm to about 400 nm.
    Type: Application
    Filed: November 4, 2011
    Publication date: May 9, 2013
    Applicant: International Business Machines Corporation
    Inventors: Dechao Guo, Zhengwen Li, Kejia Wang, Zhen Zhang
  • Patent number: 8435416
    Abstract: A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc-No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Toshiro Hiraoka, Yoshihiro Akasaka, Yasuyuki Hotta
  • Patent number: 8435900
    Abstract: The invention provides a method for manufacturing a transistor which includes: providing a substrate having a plurality of transistors formed thereon, wherein each transistor includes a gate; forming a stressed layer and a first oxide layer on the transistors and on the substrate successively; forming a sacrificial layer on the first oxide layer; patterning the sacrificial layer to remove a part of the sacrificial layer which covers on the gates of the transistors; forming a second oxide layer on the residual sacrificial layer and on a part of the first oxide layer which is exposed after the part of the sacrificial layer is removed; performing a first planarization process to remove a part of the second oxide layer located on the gates of the transistors; performing a second planarization process to remove the residual second oxide layer; and performing a third planarization process to remove the stressed layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 7, 2013
    Assignee: Semiconductor Manufacturing International Corp.
    Inventors: Qun Shao, Zhongshan Hong
  • Publication number: 20130105437
    Abstract: A method for making a master disk for nanoimprinting patterned-media magnetic recording disks has patterns for both the data islands and the nondata regions. The method uses guided self-assembly of a block copolymer (BCP) to form patterns of generally radial lines and/or generally concentric rings as well as patterns of gap regions of one of the BCP components. The pattern of lines and/or rings have the BCP components aligned as lamellae perpendicular to the substrate, while the pattern of gap regions has the BCP components aligned as lamellae parallel to the substrate. One of the BCP components is removed, leaving the other BCP component as an etch mask to fabricate either the final master disk or two separate molds that are then used to fabricate the master disk.
    Type: Application
    Filed: November 2, 2011
    Publication date: May 2, 2013
    Inventors: Thomas R. Albrecht, Elizabeth Ann Dobisz, Guoliang Liu, Ricardo Ruiz, Gabriel Zeltzer
  • Publication number: 20130098870
    Abstract: A method for forming a pattern includes providing a composition to form a resist underlayer film on a surface of a substrate to be processed. The composition contains a calixarene based compound having a group represented by a following formula (i) bound to at least a part of an aromatic ring or at least a part of a heteroaromatic ring of the calixarene based compound. The resist underlayer film on the surface of the substrate is treated with heat or an acid. A resist pattern is formed on a surface of the resist underlayer film. The resist underlayer film and the substrate are etched using the resist pattern as a mask to form the pattern on the substrate. The dry-etched resist underlayer film is removed from the substrate with a basic solution.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 25, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Publication number: 20130098869
    Abstract: A method for forming a minute pattern mask includes forming an etching target layer on a substrate. A convex pattern including a plurality of convex parts is formed on the etching target layer. A resin composition is coated on the convex pattern to form a resin layer including a first region neighboring the convex part and a second region positioned between the neighboring convex parts. The resin layer is ashed or etched to form the plurality of first resin patterns. The plurality of first resin patterns is processed to form a minute pattern mask including a plurality of second resin patterns. The etching target layer is etched using the plurality of second resin patterns as an etch mask to form a minute pattern.
    Type: Application
    Filed: March 27, 2012
    Publication date: April 25, 2013
    Inventors: Se-Hwan Yu, Ji Seon Lee, Yoon Ho Khang, Kahp Yang Suh, Hyoung Sick Um, Jae Jun Chae, Sung Hun Lee
  • Patent number: 8419950
    Abstract: According to one embodiment, a pattern forming method is disclosed. The method includes contacting a template with light curable resin on a substrate. The template comprises a concave-convex pattern including concave portions and convex portions, and a metal layer provided on a convex portion of the concave-convex pattern. The concave-convex pattern is to be contacted with the light curable resin. The pattern forming method further includes irradiating the light curable resin with light of a predetermined wavelength under a condition ?1=?2?2. Where ?1 is a complex relative permittivity of the metal layer corresponding to the predetermined wavelength, ?2 is a complex relative permittivity of the light curable resin corresponding to the predetermined wavelength.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Kashiwagi, Kazuya Fukuhara
  • Patent number: 8414786
    Abstract: A heater stuck includes first strata having a planar configuration supporting and forming a fluid heater element responsive to repetitive electrical activation and deactivation to produce repetitive cycles of fluid ejection from an ejection chamber above the heater element and second strata having a planar configuration coating the heater element of the first strata and being contiguous with the ejection chamber to protect the heater element. The first strata include a substrate and heater strata disposed on it and forming a cavity above the substrate and encompassed on three sides by the heater substrata. The heater substrata includes a pair of conductive layer portions constituting terminal leads disposed on the substrate at opposite sides of the cavity and a resistive layer disposed on the conductive layer portions and defining the fluid heater element that spans the top of the cavity.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: April 9, 2013
    Assignee: Lexmark International, Inc.
    Inventors: Yimin Guan, Burton Lee Joyner, II, Zachary Justin Reitmeier
  • Patent number: 8414785
    Abstract: Methods for fabrication of microfluidic systems on printed circuit boards (PCB) are described. The PCB contains layers of insulating material and a layer or layers of metal buried within layers of insulating material. The metal layers are etched away, leaving fully enclosed microfluidic channels buried within the layers of insulating material.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 9, 2013
    Assignee: California Institute of Technology
    Inventors: Christopher I. Walker, Aditya Rajagopal, Axel Scherer
  • Patent number: 8409449
    Abstract: Methods for fabricating sub-lithographic, nanoscale linear microchannel arrays over surfaces without defined features utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the methods use a multi-layer induced ordering approach to align lamellar films to an underlying base film within trenches, and localized heating to anneal the lamellar-phase block copolymer film overlying the trenches and outwardly over the remaining surface.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: April 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Eugene P. Marsh
  • Publication number: 20130075364
    Abstract: Methods for forming a pattern in a lithography process for semiconductor wafer manufacturing are provided. In an example, a method includes forming a photoresist layer over a material layer; performing a first exposure process on the photoresist layer, thereby forming an exposed photoresist layer having soluble portions and unsoluble portions; treating the exposed photoresist layer, wherein the treating includes one of performing a second exposure process on the exposed photoresist layer and forming an adsorbing chemical layer over the exposed photoresist layer; and developing the exposed and treated photoresist layer to remove the soluble portions of the photoresist layer, wherein the unsoluble portions of the photoresist layer form a photoresist pattern that exposes portions of the material layer.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-Wei Wang, Ko-Bin Kao, Wei-Liang Lin, Jui-Ching Wu, Chia-Hsiang Lin, Ai-Jen Jung
  • Patent number: 8404124
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures arrays including openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. In some embodiments, the films can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: March 26, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald Westmoreland, Gurtej Sandhu
  • Patent number: 8394282
    Abstract: Adaptive imprint planarization provides a surface having desired shape characteristics. Generally, topography of a first surface is mapped to provide a density map. The density map is evaluated to provide a drop pattern for dispensing polymerizable material on the first surface. The polymerizable material is solidified and etched to provide a second surface having the desired shape characteristics. Additionally, adaptive imprint planarization compensates for parasitic effects of the imprinting process.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: March 12, 2013
    Assignee: Board of Regents, The University of Texas System
    Inventors: Avinash Panga, Sidlgata V. Sreenivasan
  • Patent number: 8394722
    Abstract: A method for controlling critical dimension (CD) of etch features in an etch layer disposed below a functionalized organic mask layer disposed below an intermediate mask layer, disposed below a patterned photoresist mask, which forms a stack is provided. The intermediate mask layer is opened by selectively etching the intermediate mask layer with respect to the patterned photoresist mask. The functionalized organic mask layer is opened. The functionalized organic mask layer opening comprises flowing an open gas comprising COS, forming a plasma, and stopping the flowing of the open gas. The etch layer is etched.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: March 12, 2013
    Assignee: Lam Research Corporation
    Inventors: Gerardo A. Delgadino, Robert C. Hefty
  • Publication number: 20130048604
    Abstract: A photoresist composition includes from about 20% to about 50% by weight of a polymer, from about 0.5% to about 1.5% by weight of a photo-acid generator, from about 0.01% to about 0.5% by weight of a photo absorber and the remainder includes an organic solvent. Also provided is a method of forming a fine pattern including forming a thin film on a substrate; forming a photoresist pattern by using a photoresist composition that includes from about 20% to about 50% by weight of a polymer, from about 0.5% to about 1.5% by weight of a photo-acid generator, from about 0.01% to about 0.5% by weight of a photo absorber and a remainder comprising an organic solvent; and patterning the thin film by using the photoresist pattern as an etch-stop layer to form a fine pattern.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 28, 2013
    Inventors: Min Kang, Jin-Ho Ju, Jong-Kwang Lee, Bong-Yeon Kim, Jeong-Won Kim, Deok-Man Kang, Jung-Hwan Cho, Kyung-Mi Choi
  • Patent number: 8382997
    Abstract: A method of patterning a substrate is described. The method includes preparing a film stack on a substrate, wherein the film stack comprises a spin-on layer, and heating the spin-on layer to a cure temperature less than a thermal decomposition temperature of the spin-on layer and exceeding about 200 degrees C. to increase mechanical strength of the spin-on layer. The method further includes forming a feature pattern without pattern collapse in the spin-on layer, wherein the feature pattern is characterized by a critical dimension less than 35 nm (nanometers) and an aspect ratio relating a height of the feature pattern to the critical dimension exceeding 5:1.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Andrew W. Metz
  • Patent number: 8377316
    Abstract: This is structure and method for providing a textured surfaced that can be used in a plurality of systems including ink jet printing. In ink jet printing, the textured surface of this invention controls ink drawback and significantly improves image quality. The textured surface has an average roughness, Ra, of about 0.2 to 1.5 microns, a texture density of about 104-107 pits per cm2, a texture size of about 0.5-5 microns, and a texture depth of about 0.5-10 microns.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: February 19, 2013
    Assignee: Xerox Corporation
    Inventors: David H. Pan, T. Edwin Freeman
  • Publication number: 20130040410
    Abstract: A photoresist composition, a method of forming a pattern using the photoresist composition, and a method of manufacturing a display substrate are disclosed. A photoresist composition includes an alkali-soluble resin, a quinone diazide-based compound, a multivalent phenol-based compound, and a solvent. Therefore, photosensitivity for light having a wavelength in a range of about 392 nm to about 417 nm may be improved, and reliability of forming a photo pattern and a thin film pattern using the photoresist composition may be improved.
    Type: Application
    Filed: August 2, 2012
    Publication date: February 14, 2013
    Applicants: Doongwoo Fine-Chem Co., Ltd., Samsung Display Co., Ltd.
    Inventors: Cha-Dong KIM, Sang Hyun Yun, Jung-In Park, Su-Yeon Sim, Hi-Kuk Lee, Sang-Tae Kim, Yong-Il Kim, Shi-Jin Sung, Eun-Sang Lee, Sung-Yeol Jin
  • Patent number: 8372295
    Abstract: Methods for fabricating sublithographic, nanoscale arrays of openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the invention use a self-templating or multilayer approach to induce ordering of a self-assembling block copolymer film to an underlying base film to produce a multilayered film having an ordered array of nanostructures that can be removed to provide openings in the film which, in some embodiments, can be used as a template or mask to etch openings in an underlying material layer.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: February 12, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Publication number: 20130025216
    Abstract: A laminate floor product resembling a hand-scraped hardwood floor is disclosed. The product includes artificial beveled edges, hand-scraping marks, and chatter marks. The floor product may also include rolled or beveled edges with a decorative and/or scratch resistant paper extending to the peripheral edges.
    Type: Application
    Filed: January 31, 2012
    Publication date: January 31, 2013
    Applicant: GIP INTERNATIONAL, LTD
    Inventors: David P. Reichwein, Keith A. Pocock
  • Publication number: 20130022785
    Abstract: The present invention discloses diblock copolymer systems that self-assemble to produce very small structures. These co-polymers consist of one block that contains silicon and another block comprised of an oligosaccharide that are coupled by azide-alkyne cycloaddition.
    Type: Application
    Filed: June 20, 2012
    Publication date: January 24, 2013
    Inventors: Christopher John Ellison, Julia Cushen, Issei Otsuka, C. Grant Willson, Christopher M. Bates, Jeffery Alan Easley, Redouane Borsali, Sebastien Fort, Sami Halila
  • Publication number: 20130020282
    Abstract: A method of chemically milling a workpiece includes the step of depositing a masking material on portions of a workpiece according to a predefined masking pattern such that other portions of the workpiece that are desired to be milled are unmasked. The masking material is deposited using a masking printer that moves in three dimensions to deposit the masking material onto the workpiece. The method also includes the step of chemically removing material from unmasked desired milling areas of the workpiece.
    Type: Application
    Filed: August 20, 2012
    Publication date: January 24, 2013
    Inventor: Edris Raji
  • Publication number: 20130011632
    Abstract: Provided is a method for producing a microfine structure comprising the steps of: forming a resin film by applying a liquid polymerizable resin composition containing a high molecular weight component, a low molecular weight component and a reactive dilution component to an adhesion promoting layer formed on a substrate; imprinting a mold with an extremely fine convex concave pattern onto the resin film; and transferring the convex concave pattern to the resin film. Herein, components of the adhesion promoting layer, the high molecular weight component, the low molecular weight component and the reactive dilution component respectively have cross-linking reactive functional groups which react with each other.
    Type: Application
    Filed: December 22, 2010
    Publication date: January 10, 2013
    Inventors: Masahiko Ogino, Susumu Komoriya, Akihiro Miyauchi, Ryuta Washiya, Kyoichi Mori, Noritake Shizawa
  • Patent number: 8329051
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: December 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Qian Fu, Hyun-Yong Yu
  • Publication number: 20120285929
    Abstract: Providing a method for forming a pattern capable of forming a resist underlayer film that can be easily removed using an alkali liquid while maintaining etching resistance is objected to. Provided by the present invention is a method for forming a pattern, the method including: (1) forming a resist underlayer film on a substrate using a composition for forming a resist underlayer film containing a compound having an alkali-cleavable functional group; (2) forming a resist pattern on the resist underlayer film; (3) forming a pattern on the substrate by dry etching of the resist underlayer film and the substrate, using the resist pattern as a mask; and (4) removing the resist underlayer film with an alkali liquid.
    Type: Application
    Filed: May 8, 2012
    Publication date: November 15, 2012
    Applicant: JSR Corporation
    Inventors: Yushi MATSUMURA, Shinya Minegishi, Satoru Murakami, Yusuke Anno, Shinya Nakafuji, Kazuhiko Komura, Kyoyu Yasuda
  • Patent number: 8309278
    Abstract: Complex self-assembled patterns can be created using a sparse template and local changes to the shape or distribution of the posts of the template to direct pattern generation of block copolymer. The post spacing in the template is formed commensurate with the equilibrium periodicity of the block copolymer, which controls the orientation of the linear features. Further, the posts can be arranged such that the template occupies only a few percent of the area of the final self-assembled patterns. Local aperiodic features can be introduced by changing the period or motif of the lattice or by adding guiding posts. According to one embodiment, an array of carefully spaced and shaped posts, prepared by electron-beam patterning of an inorganic resist, can be used to template complex patterns in a cylindrical-morphology block copolymer. These complex self-assembled patterns can form a mask used in fabrication processes of arbitrary structures such as interconnect layouts.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: November 13, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Joel K. W. Yang, Karl K. Berggren, Yeon Sik Jung, Caroline A. Ross
  • Patent number: 8303832
    Abstract: A printed mask derived from a composition comprised of at least one compound including at least one alkaline-hydrolyzable group, at least one compound including at least one ethylene oxide group and at least one ultraviolet radiation blocking agent, wherein the printed mask is removable using an alkaline solution in about 30 seconds or less.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: November 6, 2012
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Francisco E. Torres, Norine E. Chang, Eric J. Shrader, C. Wayne Jaeger
  • Publication number: 20120273460
    Abstract: A random copolymer having a structure represented by the following Formula 1: wherein R is phosphonic acid, Me is a methyl group, x is a number of styrene units, and y is a number of methyl methacrylate units.
    Type: Application
    Filed: April 30, 2012
    Publication date: November 1, 2012
    Applicants: INDUSTRY-UNIVERSITY COOPERATION FOUNDATION SOGANG UNIVERSITY, SAMSUNG DISPLAY CO., LTD.
    Inventors: Min-Hyuck KANG, Su-Mi LEE, Eun-Ae KWAK, Moon-Gyu LEE, Bong-Jin MOON, Suk-Ho KIM, Ju-Hee KIM, Won-Tae JOO
  • Patent number: 8298430
    Abstract: This etching method comprises the steps of forming first and second hard masks made of materials different from each other successively on a magnetoresistive film; forming a resist having a lower face opposing a front face of the second hard mask, a space being interposed between the front face and lower face; dry-etching the second hard mask by using the resist as a mask; etching the first hard mask by using the etched second hard mask; and etching the magnetoresistive film by using the first hard mask.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: October 30, 2012
    Assignee: TDK Corporation
    Inventor: Kosuke Tanaka
  • Patent number: 8293639
    Abstract: A method for controlling an ADI-AEI CD difference ratio of openings having different sizes is described. The openings are formed through a silicon-containing material layer, an etching resistive layer and a target material layer in turn. Before the opening etching steps, at least one of the opening patterns in the photoresist mask is altered in size through photoresist trimming or deposition of a substantially conformal polymer layer. A first etching step forming thicker polymer on the sidewall of the wider opening pattern is performed to form a patterned Si-containing material layer. A second etching step is performed to remove exposed portions of the etching resistive layer and the target material layer. At least one parameter among the parameters of the photoresist trimming or polymer layer deposition step and the etching parameters of the first etching step is controlled to obtain a predetermined ADI-AEI CD difference ratio.
    Type: Grant
    Filed: February 16, 2009
    Date of Patent: October 23, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yih Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin
  • Publication number: 20120263915
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures in two-dimensional square and rectangular arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Application
    Filed: May 14, 2012
    Publication date: October 18, 2012
    Inventor: Dan B. Millward
  • Patent number: 8288284
    Abstract: To provide a substrate processing method and a semiconductor chip manufacturing method that enable low-cost formation of a mask for etching using plasma etching. During formation of a mask used in plasma dicing for separating a semiconductor wafer 1 into discrete semiconductor chips 1e by means of etching using plasma processing, there is adopted a method including printing a lyophobic liquid in an area on a rear surface 1b that is to be an objective of etching, thereby forming a lyophobic pattern made up of lyophobic films 3; supplying a low viscosity resin 4a and a high viscosity resin 4b, in this sequence, to the rear surface 1b on which the lyophobic pattern is formed, thereby forming a resin film 4 that is thicker than the lyophobic films 3 in an area where the lyophobic films 3 are not present; and curing the resin film 4, to thus form a mask 4* that covers an area except for the area to be etched.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: October 16, 2012
    Assignee: Panasonic Corporation
    Inventors: Kiyoshi Arita, Hiroshi Haji
  • Patent number: 8287749
    Abstract: The present invention provides a method of manufacturing a high-molecular thin film having a fine structure from a block-copolymer compound containing a block copolymer A as a main constituent composed of at least a block chain A1 and a block chain A2, and a block copolymer B as an accessory constituent composed of a block chain B1 miscible with a polymeric phase P1 mainly composed of the block chain A1 and a block chain B2 miscible with a polymeric phase P2 mainly composed of the block chain A2, and a substrate having a surface on which the block-copolymer compound is applied and on which a pattern member formed of a second material is discretely arranged to a surface part formed of a first material.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: October 16, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Hirokazu Hasegawa, Mikihito Takenaka, Hiroshi Yoshida, Yasuhiko Tada
  • Patent number: 8282847
    Abstract: A method for etching an etch layer formed on a substrate is provided. A first photoresist (PR) mask with first mask features is provided on the etch layer. A protective coating is provided on the first PR mask by a process including at least one cycle. Each cycle includes (a) a deposition phase for depositing a deposition layer over the surface of the first mask features using a deposition gas, and (b) a profile shaping phase for shaping the profile of the deposition layer using a profile shaping gas. A liquid PR material is applied over the first PR mask having the protective coating. The PR material is patterned into a second mask features, where the first and second mask features form a second PR mask. The etch layer is etched though the second PR mask.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: October 9, 2012
    Assignee: Lam Research Corporation
    Inventors: Andrew R. Romano, S. M. Reza Sadjadi
  • Publication number: 20120247841
    Abstract: A cutting element that includes a polycrystalline diamond layer having a cutting face and a diamond layer side surface, a substrate attached to the polycrystalline diamond layer, the substrate having a bottom surface and a substrate side surface, an interface between the diamond layer and the substrate, and a mask covering at least the bottom surface and the substrate side surface of the cutting element is disclosed.
    Type: Application
    Filed: March 28, 2012
    Publication date: October 4, 2012
    Applicant: SMITH INTERNATIONAL INC.
    Inventors: Ted Tessitore, Anthony Griffo, Yuelin Shen, Youhe Zhang, Madapusi K. Keshavan
  • Patent number: 8277667
    Abstract: A magnetic element and its manufacturing method are provided. A magnetic element includes an actuation part having a first surface and a second surface, a torsion bar connected to the actuation part, and a frame connected to the first torsion bar, wherein the first surface of the actuation part is an uneven surface. The manufacturing method of the magnetic element starts with forming an passivation layer on a substrate and defining a special area by the mask method, then continues with forming the adhesion layer and electroplate-initializing layer on the substrate sequentially. The photoresist layer are formed and the magnetic-inductive material is electroformed on the electroplate area. Finally, the substrate is etched and the passivation layer is removed to obtain the magnetic element. The manufacturing method of magnetic element of the present invention can be applied in the microelectromechanical system field and other categories.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: October 2, 2012
    Assignee: National Tsing Hua University
    Inventors: Hsueh-An Yang, Weileun Fang, Tsung-Lin Tang
  • Publication number: 20120237700
    Abstract: Methods, materials, and systems for texturizing mold surfaces is disclosed. In one method and system of the invention, a first step involves generating a graphics file of a desired texture pattern. The graphics file is subsequently output to an ink jet printer, which is configured to print using an acid-etch resist ink. The acid-etch resist ink is formulated to provide optimal properties for ink jet printing, while also providing excellent acid-etch resist and superior handling properties. The acid-etch resist ink is printed onto a sheet of a carrier substrate that allows the acid-etch resist to be transferred to a mold surface, after which the mold surface is etched with a strong acid.
    Type: Application
    Filed: December 16, 2011
    Publication date: September 20, 2012
    Applicant: IKONICS CORPORATION
    Inventors: Toshifumi Komatsu, Jeremy W. Peterson, Alexander S. Gybin
  • Patent number: 8268180
    Abstract: Methods for forming a nanoperforated graphene material are provided. The methods comprise forming an etch mask defining a periodic array of holes over a graphene material and patterning the periodic array of holes into the graphene material. The etch mask comprises a pattern-defining block copolymer layer, and can optionally also comprise a wetting layer and a neutral layer. The nanoperforated graphene material can consist of a single sheet of graphene or a plurality of graphene sheets.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: September 18, 2012
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Michael S. Arnold, Padma Gopalan, Nathaniel S. Safron, Myungwoong Kim
  • Publication number: 20120228262
    Abstract: A pattern forming method includes forming a coating film containing a hydrophilic first homopolymer having a first bonding group and a hydrophobic second homopolymer having a second bonding group capable of bonding with the first bonding group, forming a bond between the first and second bonding group to produce a block copolymer of the first and second homopolymners, and heating the coating film to microphase-separating the copolymer into a hydrophilic domain and a hydrophobic domain. The hydrophilic and hydrophobic domains are arranged alternately. The bond is broken, then selectively dissolving-removing either domain by a solvent to provide a polymer pattern of a remainder domain.
    Type: Application
    Filed: March 21, 2012
    Publication date: September 13, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Hiroki TANAKA, Ryosuke YAMAMOTO, Naoko KIHARA
  • Publication number: 20120223052
    Abstract: Methods for fabricating arrays of nanoscaled alternating lamellae or cylinders in a polymer matrix having improved long range order utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Application
    Filed: May 17, 2012
    Publication date: September 6, 2012
    Inventor: Jennifer Kahl Regner
  • Publication number: 20120223051
    Abstract: Methods for fabricating sublithographic, nanoscale microchannels utilizing an aqueous emulsion of an amphiphilic agent and a water-soluble, hydrogel-forming polymer, and films and devices formed from these methods are provided.
    Type: Application
    Filed: May 17, 2012
    Publication date: September 6, 2012
    Inventor: Dan B. Millward
  • Patent number: 8257600
    Abstract: A method of chemically milling a workpiece includes depositing a masking material on portions of the workpiece according to a predefined masking pattern such that other portions of the workpiece that are desired to be milled are unmasked. Material from the unmasked desired milling areas of the workpiece is chemically removed.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: September 4, 2012
    Assignee: United Technologies Corporation
    Inventor: Edris Raji
  • Patent number: 8252191
    Abstract: The present invention provides a method of sub-micron decal transfer lithography. The method includes forming a first pattern in a surface of a first silicon-containing elastomer, bonding at least a portion of the first pattern to a substrate, and etching a portion of at least one of the first silicon-containing elastomer and the substrate.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: August 28, 2012
    Assignee: Dow Corning Corporation
    Inventors: Ahn Heejoon, Ralph Nuzzo, Anne Shim
  • Patent number: 8226838
    Abstract: Disclosed are methods of forming polymer structures comprising: applying a solution of a block copolymer assembly comprising at least one block copolymer to a neutral substrate having a chemical pattern thereon, the chemical pattern comprising alternating pinning and neutral regions that are chemically distinct and have a first spatial frequency given by the number of paired sets of pinning and neutral regions along a given direction on the substrate; and forming domains of the block copolymer that form by lateral segregation of the blocks in accordance with the underlying chemical pattern, wherein at least one domain of the block copolymer assembly has an affinity for the pinning regions, wherein a structure extending across the chemical pattern is produced, the structure having a uniform second spatial frequency given by the number of repeating sets of domains along the given direction that is at least twice that of the first spatial frequency.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Charles T. Rettner, Daniel P. Sanders
  • Publication number: 20120181251
    Abstract: A pattern-forming method includes forming a resist underlayer film on a substrate using a resist underlayer film-forming composition. The resist underlayer film-forming composition includes a base component, and a crosslinking agent. The crosslinking agent has a partial structure represented by a following general formula (i). X represents an oxygen atom, a sulfur atom, or —NR—. R represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms. n1 is an integer from 1 to 6. R1 represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms.
    Type: Application
    Filed: March 27, 2012
    Publication date: July 19, 2012
    Applicant: JSR Corporation
    Inventors: Shin-ya Minegishi, Shin-ya Nakafuji, Takanori Nakano
  • Patent number: 8216384
    Abstract: Embodiments of the current invention describe a cleaning solution for the removal of high dose implanted photoresist, along with methods of applying the cleaning solution to remove the high dose implanted photoresist and combinatorially developing the cleaning solution.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Nitin Kumar, Guizhen Zhang
  • Patent number: 8206605
    Abstract: A substrate processing method capable of preventing a reduction in productivity of the fabrication of a semiconductor device from a substrate. An HF gas is supplied toward a wafer having a thermally-oxidized film, a BPSG film, and a deposit film, to thereby selectively etch the BPSG film and the deposit film using fluorinated acid. A residual matter of H2SiF6 produced at the time of etching is decomposed into HF and SiF4 by being heated.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: June 26, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Publication number: 20120141731
    Abstract: Nanostructures and microstructures are formed by patterning methods such as Dip Pen Nanolithography (DPN) or microcontact printing of organic molecules functioning as a resist on a substrate followed by an etching step. The etch resist is a patterning composition and can contain on a substrate including polyethylene glycol (PEG). Positive and negative etch methods can be used.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 7, 2012
    Inventors: Chad A. Mirkin, Ling Huang, Raymond Sanedrin
  • Publication number: 20120114925
    Abstract: A method of fabricating a membrane having a tampered pore, a polymeric membrane having a tapered pore, and uses of such polymeric membrane are disclosed. The membrane includes apertures of increasing diameter which are aligned with each other to form the tapered pore.
    Type: Application
    Filed: January 3, 2012
    Publication date: May 10, 2012
    Applicants: Oxford Nanolabs Limited, Sony Deutschland GmbH
    Inventors: Oliver HARNACK, Jurina Wessels, Akio Yasuda, James Clarke, Terry Reid
  • Publication number: 20120111832
    Abstract: Methods for forming an imprint lithography template are provided. Materials for forming the imprint lithography template may be etched at different rates based on physical properties of the layers. Additionally, reflectance of the materials may be monitored to provide substantially uniform erosion of the materials.
    Type: Application
    Filed: January 18, 2012
    Publication date: May 10, 2012
    Applicant: MOLECULAR IMPRINTS, INC.
    Inventors: Gary F. Doyle, Gerard M. Schmid, Michael N. Miller, Douglas J. Resnick, Dwayne L. LaBrake