Etchant Contains Solid Particle (e.g., Abrasive For Polishing, Etc.) Patents (Class 216/89)
  • Patent number: 7611639
    Abstract: A method for manufacturing a glass substrate having projections of the same height. The method includes forming a surface layer having a decreased chemical resistance on a glass plate, forming a texture including a plurality of projections having upper portions included in the surface layer, and selectively removing the surface layer.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: November 3, 2009
    Assignee: Hoya Corporation
    Inventors: Yasuhiro Saito, Toshiaki Hashimoto, Yuriko Kudoh
  • Patent number: 7604751
    Abstract: A polishing liquid composition is applicable as a means of forming embedded metal interconnections on a semiconductor substrate. In a surface to be polished comprising an insulating layer and a metal interconnection layer, the polishing liquid composition is capable of maintaining a polishing speed of the metal layer, of suppressing an etching speed, and of preventing dishing of the metal layer.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: October 20, 2009
    Assignee: Kao Corporation
    Inventors: Yasuhiro Yoneda, Ryoichi Hashimoto, Toshiya Hagihara
  • Patent number: 7601273
    Abstract: A polishing slurry composition including an abrasive, a pH-adjusting agent, a water-soluble thickening agent, and a chelating agent, wherein the chelating agent includes at least one of an acetate chelating agent and a phosphate chelating agent, and a method of using the same.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: October 13, 2009
    Assignees: Cheil Industries, Inc., MEMC Korea Co., Ltd.
    Inventors: Hyun Soo Roh, Tae Won Park, Tae Young Lee, In Kyung Lee, Chin Ho Lee, Young Woo Kim, Moon Ro Choi, Jong Seop Kim
  • Patent number: 7601643
    Abstract: An arrangement and method for fabricating a semiconductor wafer which utilizes a nonaqueous solvent rinse is disclosed.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: October 13, 2009
    Assignee: LSI Logic Corporation
    Inventor: Charles E. May
  • Patent number: 7601642
    Abstract: The inventive method for processing a silicon wafer is a method comprising step 11 in which a single crystal ingot is sliced into thin disc-like wafers; step 13 in which the surface of each wafer is lapped to be planar; step 14 in which the wafer is subjected to alkaline cleaning to be removed of contaminants resulting from preceding machining; and step 16 in which the wafer is alternately transferred between two groups of etching tanks one of which contain acidic etching solutions and the other alkaline etching solutions, wherein an additional step 12 is introduced between step 11 and step 13 in which a wafer is immersed in an acidic solution containing hydrofluoric acid (HF) and nitric acid (HNO3) at a volume ratio of ? to ½ (HF/HNO3) so that degraded superficial layers occurring on the front and rear surfaces of the wafer as a result of machining can be removed and the edge surface of the wafer can be beveled.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: October 13, 2009
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Kazushige Takaishi
  • Publication number: 20090250433
    Abstract: The present invention is related to a slurry composition for polishing copper integrated with tungsten containing barrier layers and its use in a CMP method. The present invention is also related to a method for polishing copper integrated with tungsten containing barrier layers by means of an aqueous solution containing abrasive particles, an inorganic acid such as HNO3 as etchant for copper that prevents galvanic corrosion of the tungsten containing metal barrier and at least one organic compound to provide sufficient copper corrosion inhibition.
    Type: Application
    Filed: June 8, 2009
    Publication date: October 8, 2009
    Applicant: Interuniversitair Microelektronica Centrum (IMEC)
    Inventors: Didem Ernur, Valentina Terzieva, Jorg Schuhmacher
  • Patent number: 7585772
    Abstract: A process for preparing smoothened III-N, in particular smoothened III-N substrate or III-N template, wherein III denotes at least one element of group III of the Periodic System, selected from Al, Ga and In, utilizes a smoothening agent comprising cubic boron nitride abrasive particles. The process provides large-sized III-N substrates or III-N templates having diameters of at least 40 mm, at a homogeneity of very low surface roughness over the whole substrate or wafer surface. In a mapping of the wafer surface with a white light interferometer, the standard deviation of the rms-values is 5% or lower, with a very good crystal quality at the surface or in surface-near regions, measurable, e.g., by means of rocking curve mappings and/or micro-Raman mappings.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: September 8, 2009
    Assignee: Freiberger Compound Materials GmbH
    Inventors: Stefan Hölzig, Gunnar Leibiger
  • Patent number: 7585420
    Abstract: The present invention is generally directed to catalyzed hot stamp methods for polishing and/or patterning carbon nanotube-containing substrates. In some embodiments, the substrate, as a carbon nanotube fiber end, is brought into contact with a hot stamp (typically at 200-800° C.), and is kept in contact with the hot stamp until the morphology/patterns on the hot stamp have been transferred to the substrate. In some embodiments, the hot stamp is made of material comprising one or more transition metals (Fe, Ni, Co, Pt, Ag, Au, etc.), which can catalyze the etching reaction of carbon with H2, CO2, H2O, and/or O2. Such methods can (1) polish the carbon nanotube-containing substrate with a microscopically smooth finish, and/or (2) transfer pre-defined patterns from the hot stamp to the substrate. Such polished or patterned carbon nanotube substrates can find application as carbon nanotube electrodes, field emitters, and field emitter arrays for displays and electron sources.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: September 8, 2009
    Assignee: William Marsh Rice University
    Inventors: Yuhuang Wang, Robert H. Hauge, Howard K. Schmidt, Myung Jong Kim, W. Carter Kittrell
  • Patent number: 7585425
    Abstract: An improvement in a polishing apparatus for planarizing substrates comprises a tenacious coating of a low-adhesion material to the platen surface. An expendable polishing pad is adhesively attached to the low-adhesion material, and may be removed for periodic replacement at much reduced expenditure of force. Polishing pads joined to low-adhesion materials such as polytetrafluoroethylene (PTFE) by conventional adhesives resist distortion during polishing but are readily removed for replacement.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: September 8, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Trent T. Ward
  • Patent number: 7582218
    Abstract: A method for merging sensor field-mill and electronic lapping guide material placement for a partial mill process and sensor formed according to the method is disclosed. An electronic lapping guide is formed coplanar with a sensor. The coplanar electronic lapping guide and sensor are processed to provide the electronic lapping guide and sensor with predetermined dimensions. The merging of the sensor field-mill and placement of the electronic lapping guide material for partial mill CPP eliminates steps and therefore the cycle time. Moreover, the electronic lapping guide region is raised to the height of the sensor plane to allow the sensor and electronic lapping guide to be defined in the same focal plane of the optics.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: September 1, 2009
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventor: David John Seagle
  • Patent number: 7575693
    Abstract: A method of forming an aligned connection between a nanotube layer and an etched feature is disclosed. An etched feature is formed having a top and a side and optionally a notched feature at the top. A patterned nanotube layer is formed such that the nanotube layer contacts portions of the side and overlaps a portion of the top of the etched feature. The nanotube layer is then covered with an insulating layer. Then a top portion of the insulating layer is removed to expose a top portion of the etched feature.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: August 18, 2009
    Assignee: Nantero, Inc.
    Inventors: Colin D. Yates, Thomas Rueckes, Steven L. Konsek, Mitchell Meinhold, Claude L. Bertin
  • Patent number: 7566663
    Abstract: A method for manufacturing a semiconductor device or a semiconductor wafer using a chucking unit is provided to remove a slurry that adheres to the back surface of the semiconductor wafer. An edge portion of a semiconductor wafer is polished while a back surface of the semiconductor wafer is chucked to a chucking unit of a first polishing unit. The polished semiconductor wafer is then dechucked from the chucking unit of the first polishing unit. Next, a gap is formed above the chucking unit of the second polishing unit, and the semiconductor wafer is disposed therein. Water is discharged from the chucking unit of the second polishing unit to clean the back surface of the semiconductor wafer W. Thereafter, the back surface of the semiconductor wafer is chucked to the chucking unit of the second polishing unit, and the semiconductor wafer is polished.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: July 28, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Kaori Watanabe, Hiroyuki Itoh, Takatoshi Hattori
  • Patent number: 7563383
    Abstract: The invention provides a method of polishing a substrate comprising contacting a substrate comprising a noble metal on a surface of the substrate with a chemical-mechanical polishing system comprising (a) a polishing component selected from the group consisting of an abrasive, a polishing pad, and a combination thereof, (b) an oxidizing agent, (c) an ethylene-oxide containing polymer, and (d) a liquid carrier, and abrading at least a portion of the noble metal with the chemical-mechanical polishing system to polish the substrate.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: July 21, 2009
    Assignee: Cabot Mircroelectronics Corporation
    Inventors: Francesco de Rege Thesauro, Benjamin P. Bayer
  • Patent number: 7560384
    Abstract: A chemical mechanical polishing method, including: chemically and mechanically polishing a polishing target surface by continuously performing a first polishing step and a second polishing step having a polishing rate lower than a polishing rate of the first polishing step, a chemical mechanical polishing aqueous dispersion used in the first polishing step and the second polishing step being a mixture of an aqueous dispersion and an aqueous solution, and the polishing rate being changed between the first polishing step and the second polishing step by changing a mixing ratio of the aqueous dispersion and the aqueous solution.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: July 14, 2009
    Assignee: JSR Corporation
    Inventors: Hirotaka Shida, Masayuki Hattori
  • Publication number: 20090173717
    Abstract: The invention provides a chemical-mechanical polishing composition consisting essentially of flumed alumina, alpha alumina, silica, a nonionic surfactant, an additive compound selected from the group consisting of glycine, alanine, iminodiacetic acid, and maleic acid, hydrogen peroxide, and water. The invention further provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Application
    Filed: January 8, 2008
    Publication date: July 9, 2009
    Applicant: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Ping-Ha Yeung, Brian Reiss
  • Patent number: 7544305
    Abstract: A shallow trench isolation (STI) multistage chemical mechanical polishing (CMP) method for forming a shallow trench isolation structure is provided. The substrate comprising a dense region and an isolation region, a silicon nitride layer formed over the substrate, a plurality of trenches formed in the silicon nitride layer and the substrate, an oxide layer formed over the substrate, filling the trenches, wherein a width of the trenches in the dense region is smaller than that in the isolation region. A first polishing step is performed to remove a portion of the silicon oxide layer until a thickness of the remaining portion of the oxide layer reaches a predetermined thickness. A second polishing step is performed to remove a portion of the remaining portion of the silicon oxide layer until the silicon nitride layer is exposed.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Jung Hsu, Art Yu, Hsiao-Ling Lu, Teng-Chun Tsai
  • Patent number: 7540800
    Abstract: A rough-polishing method for conducting a rough polishing before mirror-finish polishing on a semiconductor wafer (W) using a polishing apparatus (1) includes a first polishing step for polishing the semiconductor wafer using slurry containing colloidal silica supplied by a slurry supplying unit (4) and a second polishing step for polishing the semiconductor wafer using alkali solution provided by mixing deionized water supplied from a deionized-water supplying unit (5) and alkali concentrate solution supplied by an alkali-concentrate-solution supplying unit (6). The pH value of the alkali solution and polishing time in the second polishing step are determined based on the load current value of the polishing table (2) in the first polishing step.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 2, 2009
    Assignee: Sumco Techxiv Corporation
    Inventors: Kazuaki Kozasa, Tomonori Kawasaki, Kosuke Miyoshi
  • Patent number: 7541292
    Abstract: A plasma etch process for etching high aspect ratio openings in a dielectric film on a workpiece is carried out in a reactor having a ceiling electrode overlying the workpiece and an electrostatic chuck supporting the workpiece. The process includes injecting a first polymerizing etch process gas through a radially inward one of plural concentric gas injection zones in the ceiling electrode and injecting a second polymerizing etch process gas through a radially outward one of the plural concentric gas injection zones in the ceiling electrode, the compositions of the first and second process gases having first and second carbon-to-fluorine ratios that differ from one another.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: June 2, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Publication number: 20090134122
    Abstract: The present invention provides chemical-mechanical polishing (CMP) methods and compositions for polishing copper-containing substrates. The methods of the present invention entail abrading a surface of a copper-containing substrate with a CMP composition of the invention, preferably in the presence of an oxidizing agent (e.g., hydrogen peroxide). The CMP compositions of the invention comprise a particulate abrasive, a copper-complexing agent, a copper-passivating agent bearing an acidic OH group and an additional oxygen substituent in a 1,6 relationship to the acidic OH group, and an aqueous carrier. A preferred composition of the invention comprises about 0.01 to about 1 percent by weight of the particulate abrasive, about 0.1 to about 1 percent by weight of the copper-complexing agent, about 10 to about 1000 ppm of the copper-passivating agent.
    Type: Application
    Filed: November 27, 2007
    Publication date: May 28, 2009
    Inventors: Daniela White, Jason Keleher, John Parker
  • Patent number: 7524346
    Abstract: A composition for chemical-mechanical planarization comprises periodic acid and an abrasive present in a combined amount sufficient to planarize a substrate surface having a feature thereon comprising a noble metal, noble metal alloy, noble metal oxide, or any combination thereof. In one embodiment, the periodic acid is present in an amount in a range of from about 0.05 to about 0.3 moles/kilogram, and the abrasive is present in an amount in a range of from about 0.2 to about 6 weight percent. In another embodiment, the composition further comprises a pH-adjusting agent present in an amount sufficient to cause the pH of the composition to be in a range of from about pH 5 to about pH 10, or of from about pH 1 to about pH 4.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: April 28, 2009
    Assignee: DuPont Air Products NanoMaterials LLC
    Inventors: Robert J. Small, Zhefei J. Chen
  • Patent number: 7520955
    Abstract: A carrier head for a chemical mechanical polishing apparatus includes a retaining ring having a flexible lower portion and a rigid upper portion.
    Type: Grant
    Filed: May 3, 2001
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven M. Zuniga, Thomas H. Osterheld
  • Publication number: 20090090696
    Abstract: The invention provides a chemical-mechanical polishing composition comprising (a) an abrasive selected from the group consisting of alumina, ceria, titania, and zirconia, (b) a cationic copolymer comprising (A) a cationic monomer comprising a quaternary amino group and (B) a nonionic monomer, and (c) water. The invention also provides a method of polishing a substrate using the aforementioned polishing composition.
    Type: Application
    Filed: October 8, 2007
    Publication date: April 9, 2009
    Applicant: Cabot Microelectronics Corporation
    Inventors: Daniela White, John Parker
  • Patent number: 7510974
    Abstract: A CMP process is provided. A first polishing process on a wafer is performed using a first hard polishing pad with a first slurry. Then, a buffering process on the wafer is performed using a soft polishing pad with a cleaning agent to buffer the pH value in the first polishing process and to remove at least portion of the first slurry and the cleaning agent by the contact with the first soft polishing pad simultaneously. Thereafter, a second polishing process on the wafer is performed using a second hard polishing pad with a second slurry such that the pH value after the buffering process is between the pH value in the first polishing process and the pH value in the second polishing process. The method can avoid the scratch issue of wafer surface by particles resulting from pH shock and cross contamination.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: March 31, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Yueh Li, Kai-Chun Yang, Tzu-Yi Chuang, Chien-Hsuan Chen, Min-Hao Yeh
  • Patent number: 7507668
    Abstract: The present polishing slurry is a polishing slurry for chemically mechanically polishing a surface of a GaxIn1?xAsyP1?y crystal (0?x?1, 0?y?1), characterized in that this polishing slurry contains abrasive grains formed of SiO2, this abrasive grain is a secondary particle in which a primary particle is associated, and a ratio d2/d1 of an average particle diameter d2 of a secondary particle to an average particle diameter d1 of a primary particle is not less than 1.6 and not more than 10. According to such the polishing slurry, a crystal surface having a small surface roughness can be formed on a GaxIn1?xAsyP1?y crystal at a high polishing rate and effectively.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: March 24, 2009
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keiji Ishibashi, Takayuki Nishiura
  • Patent number: 7504044
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, a carboxylic acid, and water. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: March 17, 2009
    Assignee: Cabot Microelectronics Corporation
    Inventors: Phillip W. Carter, Timothy P. Johns
  • Publication number: 20090057271
    Abstract: A manufacturing method of a metal interconnection is provided. A dielectric layer having an opening therein is formed on a substrate and a barrier layer is then formed on the dielectric layer by performing an ALD process. An Al layer and an Al/Cu layer are formed on the substrate by performing a chemical vapor deposition process and a physical vapor deposition process sequentially, and the Al/Cu layer fills the opening through hot-reflow. A metal line and a plug are formed at the same time after patterning the metal layers and the barrier layer by photolithography and etching processes. Alternatively, the metal layers and the barrier layer outside the opening are removed by a chemical mechanical process, so as to form a plug. The manufacturing method simplifies the processes of forming the metal interconnection and is adapted to the metal interconnection having the opening at a relatively high aspect ratio.
    Type: Application
    Filed: February 4, 2008
    Publication date: March 5, 2009
    Applicant: POWERCHIP SEMICONDUCTOR CORP.
    Inventor: I-Chern Kao
  • Patent number: 7481945
    Abstract: During the polishing of a wafer 2, the wafer 2 is illuminated with measuring light emitted from a light source 21, and the spectroscopic intensity of the reflected light is detected by a linear sensor 31. The signal processing part 11 monitors the polishing state of the wafer 2 on the basis of detection signals from the sensor 31, and detects the polishing endpoint of the wafer 2. The shutter mechanism control part 14 controls the motor 13b of the shutter mechanism 13 in response to the polishing endpoint detection signal from the signal processing part 11, and causes a light blocking member 13a to advance into the light path of the measuring light, so that the measuring light is blocked with respect to the wafer 2. As a result, the effect of the measuring light used for the monitoring of the polishing state on the object of polishing can be reduced.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: January 27, 2009
    Assignee: Nikon Corporation
    Inventor: Eiji Matsukawa
  • Publication number: 20090013609
    Abstract: Organic-inorganic composites were prepared as colloidal particles of a cross-linked, thermally responsive polymer. Hybrid PNIPAM-polysiloxane particles and composite polymeric particles with embedded nanoparticles of an inorganic metal-oxide (MOx) such as CeO2 and TiO2 were formed. To promote the incorporation of unaggregated nanoparticles, temperature responsive microspherical gels (microgels) of N-isopropylacrylamide (NIPAM) with interpenetrating (IP) linear chains of poly(acrylic acid) (PAA) were used. The organic-inorganic composition of the hybrid polymer network was controlled by changing the time for condensation and hydrolysis of the siloxane monomer during synthesis.
    Type: Application
    Filed: October 29, 2007
    Publication date: January 15, 2009
    Applicant: UNIVERSITY OF SOUTH FLORIDA
    Inventors: Vinay Gupta, Ashok Kumar, Cecil Coutinho, Subrahmanya Mudhivarthi
  • Patent number: 7476620
    Abstract: A chemical mechanical polishing composition contains 1) water, 2) optionally an abrasive material, 3) an oxidizer, preferably a per-type oxidizer, 4) a small amount of soluble metal-ion oxidizer/polishing accelerator, a metal-ion polishing accelerator bound to particles such as to abrasive particles, or both; and 5) at least one of the group selected from a) a small amount of a chelator, b) a small amount of a dihydroxy enolic compound, and c) a small amount of an organic accelerator. Ascorbic acid in an amount less than 800 ppm, preferably between about 100 ppm and 500 ppm, is the preferred dihydroxy enolic compound. The polishing compositions and processes are useful for substantially all metals and metallic compounds found in integrated circuits, but is particularly useful for tungsten.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: January 13, 2009
    Assignee: DuPont Air Products NanoMaterials LLC
    Inventors: Junaid Ahmed Siddiqui, Daniel Hernandez Castillo, Steven Masami Aragaki, Robin Edward Richards
  • Patent number: 7456113
    Abstract: The present invention is a method of use of a novel cleaning solution in a single wafer cleaning process. According to the present invention the method involves using a cleaning solution in a single wafer mode and the cleaning solution comprises at least ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. Moreover, the present invention also teaches a method of combining an ammonia hydroxide, hydrogen peroxide, and chelating agent step with a short HF step in a fashion that minimizes process time in a way that the entire method removes aluminum and iron contamination efficiently without etching too much oxide. The single wafer cleaning processes may also be used to increase the yield of high-grade reclaimed wafers.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: November 25, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ronald Rayandayan, Steven Verhaverbeke, Hong Wang
  • Patent number: 7455791
    Abstract: An aqueous chemical mechanical polishing slurry is provided that comprises precipitated amorphous silica abrasive particles treated with acidic aluminum. Also provided is a method of polishing an electronic component substrate comprising the steps of: a) obtaining an electronic component substrate, the electronic component substrate having an insulating film deposited over it, an interconnection pattern formed in the insulating film, and interconnection material deposited on the insulated film and in the interconnection pattern; and b) polishing the interconnection material until a surface of said insulating film is exposed by using an aqueous chemical mechanical polishing slurry comprising: precipitated amorphous silica abrasive particles treated with acidic aluminum.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: November 25, 2008
    Assignee: J.M. Huber Corporation
    Inventors: Duen-Wu Hua, Frands Nielsen
  • Patent number: 7452819
    Abstract: There is disclosed a chemical mechanical polishing method of an organic film comprising forming the organic film above a semiconductor substrate, contacting the organic film formed above the semiconductor substrate with a polishing pad attached to a turntable, and dropping a slurry onto the polishing pad to polish the organic film, the slurry being selected from the group consisting of a first slurry and a second slurry, the first slurry comprising a resin particle having a functional group selected from the group consisting of an anionic functional group, a cationic functional group, an amphoteric functional group and a nonionic functional group, and having a primary particle diameter ranging from 0.05 to 5 ?m, the first slurry having a pH ranging from 2 to 8, and the second slurry comprising a resin particle having a primary particle diameter ranging from 0.05 to 5 ?m, and a surfactant having a hydrophilic moiety.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: November 18, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Gaku Minamihaba, Yoshikuni Tateyama, Hiroyuki Yano, Atsushi Shigeta
  • Patent number: 7452814
    Abstract: In a polishing method of a GaN substrate according to this invention, first, while supplying a polishing solution 27 containing abrasives 23 and a lubricant 25, onto a platen 101, the GaN substrate is polished using the platen 101 and the polishing solution 27 (first polishing step). Then the GaN substrate is polished using the platen 101 in which abrasives 29 are buried, while supplying a lubricant 31 onto the platen 101 in which the abrasives 29 are buried (second polishing step).
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: November 18, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Naoki Matsumoto
  • Patent number: 7449124
    Abstract: A method for polishing a wafer comprising an aqueous solution having a pH in the range of 6 to 8, wherein the aqueous solution comprises at least one compound selected from the group consisting of a polymethacrylic acid, a polysulfonic acid, and combinations thereof, and wherein the compound is present in the range of 1.5 to 4 percent by weight of the aqueous solution. The wafer polishing solution can be adjusted to control cut rate and selectivity for modifying semiconductor wafers using a fixed abrasive CMP process.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: November 11, 2008
    Assignee: 3M Innovative Properties Company
    Inventors: Richard J. Webb, John C. Clark, Christopher J. Rueb, John J. Gagliardi
  • Patent number: 7442645
    Abstract: The inventive method of polishing a silicon-containing dielectric layer involves the use of a chemical-mechanical polishing system comprising (a) an inorganic abrasive, (b) a polishing additive, and (c) a liquid carrier, wherein the polishing composition has a pH of about 4 to about 6. The inventive chemical-mechanical polishing system comprises (a) ceria, (b) a polishing additive, and (c) a liquid carrier, wherein the polishing system has a pH of about 4 to about 6.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: October 28, 2008
    Assignee: Cabot Microelectronics Corporation
    Inventors: Phillip W. Carter, Timothy P. Johns
  • Patent number: 7438632
    Abstract: A method and apparatus for cleaning a web-based chemical-mechanical planarization (CMP) system. Specifically, a fluid spray bar is coupled to a frame assembly which may be mounted on a CMP system. The fluid spray bar will move along the frame assembly. As the fluid spray bar traverses the length of the frame assembly, a cleaning fluid is sprayed onto the web in order to clean the web between planarization cycles.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Moore, Dinesh Chopra
  • Patent number: 7432205
    Abstract: The invention is directed to a method for controlling a polishing process. The method comprises steps of providing a first wafer, wherein a thin film is located over the first wafer. A film average thickness distribution is obtained by measuring a plurality of thickness values of the thin film on a plurality regions over the wafer respectively. A removal rate recipe is determined according to the film average thickness distribution. A polishing process is performed according to the removal rate recipe.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: October 7, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Ching-Wen Teng, Chin-Kun Lin, Boon Tiong Neo
  • Patent number: 7429338
    Abstract: A composition and an associated method for chemical mechanical planarization (or other polishing) are described. The composition includes a surface-modified abrasive modified with at least one stabilizer and at least one catalyst differing from the at least one stabilizer. The composition can further include a medium containing the abrasive and an oxidizing agent (e.g., hydrogen peroxide), wherein the at least one catalyst is adapted to catalyze oxidation of a substrate by the oxidizing agent. Preferably, the abrasive is alumina, titania, zirconia, germania, silica, ceria and/or mixtures thereof, the stabilizer is B, W and/or Al, and the catalyst is Cu, Fe, Mn, Ti, W and/or V. Both the stabilizer and the catalyst are immobilized on the abrasive surface. The method includes applying the composition to a substrate to be polished, such as substrates containing W, Cu and/or dielectrics.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: September 30, 2008
    Assignee: DuPont Air Products NanoMaterials LLC
    Inventor: Junaid Ahmed Siddiqui
  • Publication number: 20080210665
    Abstract: A polishing composition includes an abrasive, phosphoric acid, and an oxidizing agent and has a pH of 6 or less. The polishing composition has the capability for polishing an alloy containing nickel and iron with a high stock removal rate. Accordingly, the polishing composition is preferably used in an application for polishing an object including the alloy containing nickel and iron.
    Type: Application
    Filed: January 14, 2008
    Publication date: September 4, 2008
    Applicant: FUJIMI INCORPORATED
    Inventors: Kazusei Tamai, Yasuyuki Yamato
  • Publication number: 20080203059
    Abstract: The inventive polishing composition comprises an abrasive, an aqueous medium, a surfactant in an amount above its critical micelle concentration, and a hydrophobic surface active compound. The invention also provides a method of using a polishing composition.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Applicant: Cabot Microelectronics Corporation
    Inventors: Francesco De Rege Thesauro, Jason Keleher
  • Patent number: 7416674
    Abstract: A technique for fabricating the required surface shapes for micro optical elements, such as curved micro mirrors and lenses, starts with a simple, binary for example, approximation to the desired surface shape. Then polishing, e.g., chemical mechanical polishing (CMP), is used to form the smooth optical surface. Specifically, starting with a mesa or blind hole, with a mesa profile, a smooth mirror or lens structure is fabricated.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: August 26, 2008
    Assignee: Axsun Technologies, Inc.
    Inventor: Jonathan R. Coppeta
  • Publication number: 20080197112
    Abstract: Compositions for lapping gears and methods for preparing the same are described. These compositions contain a salt of polyaspartic acid and may contain additional components that are useful for lapping gears. Also provided are processes for using the compositions described herein.
    Type: Application
    Filed: February 20, 2008
    Publication date: August 21, 2008
    Applicant: HOUGHTON TECHNICAL CORP.
    Inventors: Qi Wang, Donald L. Schuster
  • Patent number: 7402261
    Abstract: A slurry composition includes an acidic aqueous solution and one or both of, an amphoteric surfactant and a glycol compound. Examples of the amphoteric surfactant include a betaine compound and an amino acid compound, and examples of the amino acid compound include lysine, proline and arginine. Examples of the glycol compound include diethylene glycol, ethylene glycol and polyethylene glycol.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: July 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hyun So, Sung-Taek Moon, Dong-Jun Lee, Nam-Soo Kim, Bong-Su Ahn, Kyoung-Moon Kang
  • Patent number: 7402259
    Abstract: A chemical-mechanical polishing (CMP) method includes applying a solid abrasive material to a substrate, polishing the substrate, flocculating at least a portion of the abrasive material, and removing at least a majority portion of the flocculated portion from the substrate. Applying solid abrasive material can include applying a CMP slurry or a polishing pad comprising abrasive material. Such a method can further include applying a surfactant comprising material to the substrate to assist in effectuating flocculation of the abrasive material. Such surfactant comprising material may be cationic which includes, for example, a quaternary ammonium substituted salt. Also, for example, the surfactant comprising material may be applied during polishing, brush scrubbing, pressure spraying, or buffing.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 7402258
    Abstract: Methods of removing metal contaminants from a component for a plasma processing apparatus are provided. The method includes cleaning a surface of the component with a cleaning liquid that includes at least one acid selected from oxalic acid, formic acid, acetic acid, citric acid, and mixtures thereof.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: July 22, 2008
    Assignee: Lam Research Corporation
    Inventors: Mark W. Kiehlbauch, John E. Daugherty, Harmeet Singh
  • Publication number: 20080156774
    Abstract: The invention provides a method of chemically-mechanically polishing a gold-containing surface of a substrate with a cyanide-free chemical-mechanical polishing (CMP) composition.
    Type: Application
    Filed: March 7, 2008
    Publication date: July 3, 2008
    Inventors: Vlasta Brusic, Renjie Zhou, Christopher Thompson
  • Publication number: 20080160788
    Abstract: Methods for reducing the surface roughness of semiconductor wafers through a combination of rough polishing and thermally annealing the wafer.
    Type: Application
    Filed: December 19, 2007
    Publication date: July 3, 2008
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventors: Larry W. Shive, Brian L. Gilmore
  • Patent number: 7393790
    Abstract: A method is disclosed for preparing carrier wafers for semiconductor device manufacture. The method includes the steps of sorting a plurality of standard carrier wafer blanks into batches by thickness to define a batch of starting carrier wafers that are within a predetermined tolerance of one another, reducing the thickness of the sorted carrier wafers to within 10 microns of a final target thickness, and polishing the sorted carrier wafers to the final target thickness. The polished carrier wafers are mounted to device precursor wafers having at least one semiconductor epitaxial layer on a substrate by joining one surface of a carrier wafer to the epitaxial layer on a substrate. The thickness of the device precursor wafer is then reduced by removing material from the device precursor substrate opposite the joined epitaxial layer.
    Type: Grant
    Filed: September 9, 2005
    Date of Patent: July 1, 2008
    Assignee: Cree, Inc.
    Inventors: Jeffrey Carl Britt, Michael Paul Laughner, Craig William Hardin
  • Patent number: 7390423
    Abstract: A self-cleaning colloidal slurry and process for finishing a surface of a glass, ceramic, glass-ceramic, metal or alloy substrate for use in a data storage device, for example. The slurry comprises a carrying fluid, colloidal particles, etchant, and a surfactant adsorbed and/or precipitated onto a surface of the colloidal particles and/or substrate. The surfactant has a hydrophobic section that forms a steric hindrance barrier and substantially prevents contaminates, including colloidal particles, from bonding to the substrate surface. The slurry is applied to the surface of the substrate while a pad mechanically rubs the surface. Subsequent cleaning with standard soap solutions removes substantially all remaining contamination from the substrate surface. In an exemplary embodiment, the slurry is used to superfinish a glass disk substrate to a surface roughness of less than 2 ?, with substantially no surface contamination as seen by atomic force microscopy (AFM) after standard soap cleaning steps.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventors: Frederick Paul Benning, James A. Hagan, Steven L. Maynard, David C. Paurus, Douglas Howard Piltingsrud, Jon Edward Podolske
  • Patent number: 7387963
    Abstract: A semiconductor wafer has an edge region with no defects larger than or equal to 0.3 ?m. The wafers are produced by a process, comprising (a) providing a semiconductor wafer having a rounded and etched edge; (b) polishing the edge of the semiconductor wafer, in which step the semiconductor wafer, which is held on a centrally rotating chuck and projects beyond the chuck and at least one polishing drum which is inclined by a specific angle with respect to the chuck, rotates centrally and is covered with a polishing cloth, are moved toward one another and pressed onto one another under a specific contact pressure with a polishing abrasive being supplied continuously; (c) cleaning the semiconductor wafer; (d) inspecting an edge region of the semiconductor wafer using an inspection unit; and (e) further processing the semiconductor wafer.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: June 17, 2008
    Assignee: Siltronic AG
    Inventors: Rudolf Rupp, Werner Aigner, Friedrich Passek