Etchant Contains Solid Particle (e.g., Abrasive For Polishing, Etc.) Patents (Class 216/89)
  • Publication number: 20080135520
    Abstract: The chemical composition for a slurry for chemical mechanical planarization includes abrasive particles selected from the group consisting of SiO2, Al2O3, TiO2, and CeO2, and combinations thereof, and a silicate oligomer as a rate accelerator. The slurry may include an organic dispersion agent, and preferably has a has a pH in the range of about 4 to about 12.
    Type: Application
    Filed: December 12, 2006
    Publication date: June 12, 2008
    Inventor: Tao Sun
  • Patent number: 7381647
    Abstract: Microelectronic devices including a layer of germanium and selenium, optionally including up to 10 atomic percent silver, show promise for select applications. Manufacturing microelectronic devices containing such layers using conventional CMP processes presents some significant challenges. Embodiments of the invention provide methods of planarizing workpieces with Ge—Se layers, many of which can be carried out using conventional CMP equipment. Other embodiments of the invention provide chemical-mechanical polishing systems adapted to produce planarized workpieces with Ge—Se layers or, in at least one embodiment, other alternative layers. Various approaches suggested herein facilitate production of such microelectronic devices by appropriate control of the down force of the Ge—Se layer against the planarizing medium and/or one or more aspects of the planarizing medium, which aspects include pH, abrasive particle size, abrasive particle hardness, weight percent of abrasive.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: June 3, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Nagasubramaniyan Chandrasekaran, Theodore M. Taylor
  • Patent number: 7381648
    Abstract: A chemical mechanical polishing slurry comprising an oxidizing agent, a complexing agent, an abrasive, and an optional surfactant, as well as a method for using the chemical mechanical polishing slurry to remove copper alloy, titanium, titanium nitride, tantalum and tantalum nitride containing layers from a substrate. The slurry does not include a separate film-forming agent.
    Type: Grant
    Filed: July 9, 2003
    Date of Patent: June 3, 2008
    Assignee: Cabot Microelectronics Corporation
    Inventors: Vlasta Brusic Kaufman, Rodney C. Kistler, Shumin Wang
  • Patent number: 7377836
    Abstract: Methods of refining using a plurality of refining elements are discussed. A refining apparatus having refining elements that can be smaller than the workpiece being refined are disclosed. New refining methods, refining apparatus, and refining elements disclosed. Methods of refining using frictional refining, chemical refining, tribochemical refining, and electrochemical refining and combinations thereof are disclosed. A refining apparatus having magnetically responsive refining elements that can be smaller than the workpiece being refined are disclosed. The refining apparatus can supply a parallel refining motion to the refining element(s) for example through magnetic coupling forces. The refining apparatus can supply multiple different parallel refining motions to multiple different refining elements for example solely through magnetic coupling forces to improve refining quality and versatility. A refining chamber can be used. New methods of control are refining disclosed.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: May 27, 2008
    Assignee: Beaver Creek Concepts Inc
    Inventor: Charles J. Molnar
  • Publication number: 20080116172
    Abstract: The present invention provides a method of removing silicon nitride at about the same removal rate as silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and additives that modulate the silicon dioxide and silicon nitride removal rates such that they are about the same. In one embodiment of the invention, the additive is lysine or lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8. In another embodiment of the invention, the additive is arginine in combination with picolinic acid, which is effective at a pH of about 10.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Applicants: Clarkson University, Infotonics Technology Center Inc.
    Inventors: Suryadevara V. Babu, Anita Natarajan
  • Publication number: 20080116171
    Abstract: The present invention provides a method of removing silicon nitride in preference to silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and an additive that suppresses the silicon dioxide removal rate but enhances the silicon nitride removal rate. In one embodiment of the invention, the additive is lysine, which is effective at a pH of about 9, or arginine, which is effective at a pH of about 8. In another embodiment of the invention, the additive is lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8, or arginine in combination with picolinic acid, which is effective at a pH of about 9.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Applicants: Clarkson University, Infotonics Technology Center Inc.
    Inventors: Suryadevara V. Babu, Anita Natarajan
  • Patent number: 7371686
    Abstract: A method and an apparatus for polishing a semiconductor wafer are provided. An initial thickness of the semiconductor wafer is actually measured to obtain a measured initial thickness value. First and second inter-positions are then set or determined with reference to the measured initial thickness value. The first and second inter-positions are predetermined taking into account any variation in the initial thickness of the semiconductor wafer. A polishing process is carried out under control to a motion of a polishing pad toward a stage, on which the semiconductor pad is held.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: May 13, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Kentarou Arai
  • Publication number: 20080105652
    Abstract: The invention provides a chemical-mechanical polishing composition for polishing a substrate. The polishing composition comprises an abrasive, an oxidizing agent, an amphiphilic nonionic surfactant, calcium ion or magnesium ion, a corrosion inhibitor for copper, and water, wherein the pH of the polishing composition is about 6 to about 12. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition.
    Type: Application
    Filed: November 2, 2006
    Publication date: May 8, 2008
    Applicant: Cabot Microelectronics Corporation
    Inventors: Vlasta Brusic, Renjie Zhou, Christopher C. Thompson, Paul M. Feeney
  • Publication number: 20080105648
    Abstract: The present invention is generally directed to catalyzed hot stamp methods for polishing and/or patterning carbon nanotube-containing substrates. In some embodiments, the substrate, as a carbon nanotube fiber end, is brought into contact with a hot stamp (typically at 200-800° C.), and is kept in contact with the hot stamp until the morphology/patterns on the hot stamp have been transferred to the substrate. In some embodiments, the hot stamp is made of material comprising one or more transition metals (Fe, Ni, Co, Pt, Ag, Au, etc.), which can catalyze the etching reaction of carbon with H2, CO2, H2O, and/or O2. Such methods can (1) polish the carbon nanotube-containing substrate with a microscopically smooth finish, and/or (2) transfer pre-defined patterns from the hot stamp to the substrate. Such polished or patterned carbon nanotube substrates can find application as carbon nanotube electrodes, field emitters, and field emitter arrays for displays and electron sources.
    Type: Application
    Filed: December 14, 2005
    Publication date: May 8, 2008
    Applicant: William Marsh Rice University
    Inventors: Yuhuang Wang, Robert H. Hauge, Howard K. Schmidt, Myung Jong Kim, W. Carter Kittrell
  • Publication number: 20080105651
    Abstract: A polishing liquid for CMP has a composition loaded with, for example, an inorganic salt, a protective film forming agent and a surfactant capable of imparting a dissolution accelerating activity to enlarge a difference between polishing speed under non-load and polishing speed under load. By virtue of this polishing liquid for CMP, there can be simultaneously accomplished a speed increase for increasing CMP productivity, and wiring planarization for miniaturization and multilayer formation of wiring.
    Type: Application
    Filed: August 9, 2005
    Publication date: May 8, 2008
    Inventors: Katsumi Mabuchi, Haruo Akahoshi, Yasuo Kamigata, Masanobu Habiro, Hiroshi Ono
  • Patent number: 7368063
    Abstract: In an ink-jet printhead and a method for manufacturing the same, the ink-jet printhead includes a substrate, an ink chamber to be filled with ink formed on a front surface of the substrate, a manifold for supplying ink to the ink chamber formed on a rear surface of the substrate, and an ink passage in flow communication with the ink chamber and the manifold formed parallel to the front surface of the substrate; a nozzle plate including a plurality of passivation layers formed of an insulating material on the front surface of the substrate, a heat dissipating layer formed of a metallic material, and a nozzle in flow communication with the ink chamber; and a heater and a conductor, the heater being positioned on the ink chamber and heating ink in the ink chamber, and the conductor for applying a current to the heater.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: May 6, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-soo Kim, Su-ho Shin, Yong-soo Oh, Hyung-taek Lim, Jong-woo Shin, Seog-soon Baek
  • Patent number: 7368387
    Abstract: A polishing composition includes fumed alumina, alumina other than fumed alumina, colloidal silica, a first organic acid, a second organic acid, an oxidizing agent, and water. When the second organic acid is citric acid, the first organic acid is preferably malic acid, while when the second organic acid is malic acid, the first organic acid is preferably citric acid. When the second organic acid is succinic acid, iminodiacetic acid, itaconic acid, maleic acid, malonic acid, crotonic acid, gluconic acid, glycolic acid, lactic acid, or mandelic acid, the first organic acid is preferably either citric acid or malic acid. The polishing composition can be suitably used for polishing the surface of a substrate for a magnetic disk.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: May 6, 2008
    Assignee: Fujimi Incorporated
    Inventors: Takanori Uno, Hiroyasu Sugiyama, Toshiki Owaki
  • Patent number: 7368066
    Abstract: The invention provides a cyanide-free chemical-mechanical polishing (CMP) composition useful for polishing a gold-containing surface of a substrate. The CMP composition comprises an abrasive, a gold-oxidizing agent, a cyanide-free gold-solubilizing agent, and an aqueous carrier therefor. The invention further provides a method of chemically-mechanically polishing a gold-containing surface of a substrate with the aforementioned polishing composition.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: May 6, 2008
    Assignee: Cabot Microelectronics Corporation
    Inventors: Vlasta Brusic, Renjie Zhou, Christopher Thompson
  • Publication number: 20080099443
    Abstract: A polishing system receives one or more target parameters for a selected peak in a spectrum of light, polishes a substrate, measures a current spectrum of light reflected from the substrate while the substrate is being polished, identifies the selected peak in the current spectrum, measures one or more current parameters of the selected peak in the current spectrum, compares the current parameters of the selected peak to the target parameters, and ceases to polish the substrate when the current parameters and the target parameters have a pre defined relationship.
    Type: Application
    Filed: October 31, 2006
    Publication date: May 1, 2008
    Inventors: Dominic J. Benvegnu, Bogdan Swedek, David J. Lischka
  • Publication number: 20080101206
    Abstract: Device for recording data comprising microdots with free ends forming a convex surface and method for the production thereof The data recording device comprises a storage medium arranged facing a two dimensional array of microdots, of nanometric dimensions, formed directly on a substrate. An electronic circuit for addressing and controlling the microdots is integrated in the substrate. The microdots and/or substrate are shaped in such a way that the set of free ends of the microdots defines a convex surface, which enables a contact to be ensured in all cases between each of the microdots and the corresponding storage medium. The radius of curvature of the surface convex is preferably comprised between 1 m and 5 m.
    Type: Application
    Filed: December 22, 2005
    Publication date: May 1, 2008
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventor: Serge Gidon
  • Patent number: 7364667
    Abstract: A CMP slurry comprising polishing abrasives containing mixture abrasives of silica and alumina is used. In CMP using the slurry comprising mixture abrasives of silica and alumina as polishing abrasives, a down force-dependency of a polishing rate is high and an increase in dishing can be effectively suppressed.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: April 29, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Hiroyuki Yano
  • Publication number: 20080093336
    Abstract: A method of fabricating a patterned magnetic recording medium, comprises steps of: (a) providing a layer stack including an uppermost non-magnetic interlayer; (b) forming a resist layer on the interlayer; (c) forming a first pattern comprising a first group of recesses extending through the resist layer and exposing a first group of spaced apart surface portions of the interlayer; (d) filling the first group of recesses with a layer of a hard mask material; (e) selectively removing the resist layer to form a second pattern comprising a second group of recesses extending through the hard mask layer and exposing a second group of spaced apart surface portions of the interlayer; and (f) filling the second group of recesses with a layer of a magnetically hard material forming a magnetic recording layer.
    Type: Application
    Filed: October 20, 2006
    Publication date: April 24, 2008
    Inventors: Kim Y. Lee, Hong Ying Wang, Nobuo Kurataka, Christopher Formato, David S. Kuo, Dieter K. Weller
  • Publication number: 20080096389
    Abstract: In one method and embodiment of the present invention, at least one coil layer is formed in a write head, using a two-slurry step of copper damascene chemical mechanical polishing method with a first slurry step removing the undesirable copper that is on top of the tantalum barrier layer and on top of the trenches and a second slurry step removing the remainder of the undesirable copper, the tantalum barrier layer, the silicon dioxide hard mask layer, the hard baked photoresist layer, the magnetic alloy such as NiFe, CoFe, or CoNiFe, and alumina insulating layer for better thin film magnetic head performances.
    Type: Application
    Filed: October 20, 2006
    Publication date: April 24, 2008
    Inventors: Jian-Huei Feng, Hung-Chin Guthrie, Ming Jiang, Sue Siyang Zhang
  • Patent number: 7354530
    Abstract: Alpha-amino acid containing chemical mechanical polishing compositions and slurries that are useful for polishing substrates including multiple layers of metals, or metals and dielectrics.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: April 8, 2008
    Inventors: Shumin Wang, Vlasta Brusic Kaufman
  • Patent number: 7351667
    Abstract: An etching solution for silicon oxide may be used in a process for enlarging an opening formed through a silicon oxide layer. The etching solution includes about 0.2 to about 5.0 percent by weight of a hydrogen fluoride solution, about 0.05 to about 20.0 percent by weight of an ammonium fluoride solution, about 40.0 to about 70.0 percent by weight of an alkyl hydroxide solution and remaining water. The etching solution may etch the silicon oxide layer without damage to a metal silicide layer exposed by the opening.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Won Hwang, Hun-Jung Yi, Kwang-Shin Lim, Jung-Dae Park
  • Patent number: 7348276
    Abstract: A method of fabricating a semiconductor device includes a polishing process of a substrate, wherein the polishing process includes the steps of applying a chemical mechanical polishing process to the substrate on a polishing pad while using slurry, and conditions a surface of the polishing pad, the conditioning step including the step of grinding the surface of said polishing pad by at least first and second conditioning disks of respective, different surface states.
    Type: Grant
    Filed: July 22, 2005
    Date of Patent: March 25, 2008
    Assignee: Fujitsu, Limited
    Inventor: Tetsuya Shirasu
  • Patent number: 7344988
    Abstract: Methods of manufacturing alumina abrasive for use in chemical mechanical polishing are described, wherein the abrasive is in a slurry having gamma alumina formed in a low temperature fuming process, water, an acid sufficient to maintain the pH below about 7, wherein the slurry does not settle appreciably in an 8 to 24 hour period. Advantageously, the alumina is wet-milled without the use of wet-milling salt additives.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: March 18, 2008
    Assignee: DuPont Air Products Nanomaterials LLC
    Inventor: Philippe H. Chelle
  • Patent number: 7323416
    Abstract: Polishing compositions and methods for removing conductive materials from a substrate surface are provided. In one aspect, a method is provided for processing a substrate to remove conductive material disposed over narrow feature definitions formed in a substrate at a higher removal rate than conductive material disposed over wide feature definitions formed in a substrate by an electrochemical mechanical polishing technique, and then polishing the substrate by at least a chemical mechanical polishing technique.
    Type: Grant
    Filed: August 4, 2005
    Date of Patent: January 29, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Feng Q. Liu, Tianbao Du, Alain Duboust, Yan Wang, Yongqi Hu, Stan D. Tsai, Liang-Yuh Chen, Wen-Chiang Tu, Wei-Yung Hsu
  • Patent number: 7316786
    Abstract: A method is provided that includes a main laminate making step of forming a plurality of main magnetic poles onto a substrate, covering each magnetic pole with a first protective film, and forming onto the first protective film a stopper film provided with openings at respective parts opposing the main magnetic poles. Each opening is wider than a planar width of a corresponding main magnetic pole, so as to make a main laminate. The method includes a main polishing step of polishing the first protective film and main magnetic poles through the openings of the stopper film in the main laminate by a CMP method. In the main laminate making step, the openings in the stopper film is provided with a width distribution.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: January 8, 2008
    Assignee: TDK Corporation
    Inventor: Akifumi Kamijima
  • Publication number: 20080003829
    Abstract: Disclosed is chemical mechanical polishing (CMP) slurry comprising: abrasive particles; an oxidant; a compound having at least two amine groups; a polycarboxylic acid; and water. The CMP slurry comprising a compound having at least two amine groups and a polycarboxylic acid provides an improved removal rate and selectivity of copper, while not adversely affecting the overall polishing rate, increases the planarization, and minimizes dishing and erosion problems.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 3, 2008
    Inventors: Dong Mok Shin, Eun Mi Choi, Seung Beom Cho
  • Patent number: 7314575
    Abstract: A method for manufacturing a glass substrate for a magnetic disk comprises mirror surface polishing and cleaning of a glass substrate, wherein polishing agent of which the principal component is rare-earth oxide with content of fluorine 5% by weight or less, is supplied to the glass substrate, the surface of the glass substrate is subjected to mirror surface polishing by relatively moving the polishing cloth and the glass substrate, then this glass substrate is brought into contact with a cleaning solution including ascorbic acid, fluorine ion, and sulfuric acid of 3% by weight or more, and the polishing agent is dissolved and removed. The concentration of the ascorbic acid included in the cleaning solution is 0.1% by weight or more, and the content of the fluorine ion is 1 ppm to 40 ppm. At least a magnetic layer is formed on the obtained glass substrate to manufacture a magnetic disk.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: January 1, 2008
    Assignees: Hoya Corporation, Hoya Glass Disk (thailand) Ltd.
    Inventors: Yoshinori Marumo, Intanon Sitalaphruek
  • Publication number: 20070298612
    Abstract: The present invention provides a method for polishing silicon nitride-containing substrates. The method comprises abrading a surface of a silicon nitride substrate with a polishing composition, which comprises colloidal silica, at least one acidic component, and an aqueous carrier. The at least one acidic component has a pKa in the range of about 1 to 4.5. The composition has a pH in the range of about 0.5 pH units less than the pKa of the at least one acidic component to about 1.5 pH units greater than the pKa.
    Type: Application
    Filed: June 7, 2006
    Publication date: December 27, 2007
    Inventors: Jeffrey Dysard, Sriram Anjur, Timothy Johns, Zhan Chen
  • Patent number: 7312154
    Abstract: A method of polishing a semiconductor layer formed on a transparent substrate is described, the method including measuring the thickness of the semiconductor from the substrate side of the semiconductor layer simultaneously with the polishing, and using the thickness measurement to modify the polishing.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: December 25, 2007
    Assignee: Corning Incorporated
    Inventors: Jeffrey Scott Cites, Charles Michael Darcangelo, Steven Joseph Gregorski, Richard Orr Maschmeyer, Mark Andrew Stocker, John Christopher Thomas
  • Publication number: 20070289947
    Abstract: The present invention polishes a lithium aluminum oxide (LiAlo2) crystal several times with three different materials and then the LiAlo2 crystal are soaked into an acid solution to be washed for obtaining a LiAlo2 crystal of film-free, scratch-free with smooth surface.
    Type: Application
    Filed: June 16, 2006
    Publication date: December 20, 2007
    Applicants: National Sun Yat-sen University, Sino American Silicon Products Inc.
    Inventors: Mitch M. C. Chou, Wen-Ching Hsu, Chi-Tse Lee, Sin-Jie Huang
  • Patent number: 7309449
    Abstract: A substrate processing enables etching of a barrier metal film at around room temperature without application of a mechanical load and without excessive etching of a necessary portion of copper. The substrate processing flattens a copper film and a barrier metal film, both exposed on a surface of a substrate, by using an etching liquid capable of adjusting the etching rate ratio between the copper film and the barrier metal film.
    Type: Grant
    Filed: January 13, 2004
    Date of Patent: December 18, 2007
    Assignee: Ebara Corporation
    Inventors: Haruko Ono, Sachiko Takeda, Ichiro Katakabe
  • Patent number: 7307023
    Abstract: A method for polishing a Cu film comprises contacting a Cu film formed above a semiconductor substrate with a polishing pad attached to a turntable, and supplying a first chemical liquid which promotes the polishing of the Cu film and a second chemical liquid which contains a surfactant, to the polishing pad while the turntable being rotated, thereby polishing the Cu film, while monitoring at least one of a table current of the turntable and a surface temperature of the polishing pad to detect a change in at least one of the table current of the turntable and the surface temperature of the polishing pad. The supply of the second chemical liquid is controlled in conformity with the change.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: December 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Dai Fukushima, Gaku Minamihaba, Hiroyuki Yano, Susumu Yamamoto
  • Patent number: 7306747
    Abstract: Use in etching or polishing of integrated circuits of fluorinated additives of formula (I): T?(C3F6O)n(CFXO)mT ??(I) having a number average molecular weight in the range 250–400, in particular of the compound Cl(CF2—CF(CF3)O)nCF2COONa.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: December 11, 2007
    Assignee: Solvay Solexis S.p.A.
    Inventors: Mario Visca, Alba Chittofrati, Fiorenza D'Aprile
  • Patent number: 7306748
    Abstract: A method for machining a ceramic substrate containing Al, including providing a slurry between a substrate and a machine tool, the slurry containing alumina abrasive and an additive including a phosphorus compound, and moving the substrate relative to the machine tool.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: December 11, 2007
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Ronald W. Laconto, Douglas E. Ward
  • Publication number: 20070278182
    Abstract: A composition comprising a solution of potassium monopersulfate having an active oxygen content of from about 3.4% to about 6.8% and a process for its preparation including neutralization with an alkaline material is disclosed.
    Type: Application
    Filed: June 2, 2006
    Publication date: December 6, 2007
    Inventors: Robert Jeffrey Durante, Harvey James Bohn
  • Patent number: 7303691
    Abstract: A polishing method includes a slurry adjusting step for adjusting a polishing slurry containing silica particles so that the number of silica particles having a composition ratio of Si/O of 50–60 wt %/40–50 wt %, a modulus of elasticity of 1.4×1010 Pa or higher and a particle size of 1 ?m or larger is 3000 pcs/ml or less. A semiconductor wafer is polished using the polishing slurry adjusted in the slurry adjusting step.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: December 4, 2007
    Assignee: Sumco Techxiv Corporation
    Inventors: Kazuaki Kozasa, Motoharu Yamada, Yasuhiro Tomita, Hiromi Wakabayashi
  • Patent number: 7297633
    Abstract: The present invention provides a method of manufacturing a composition for polishing silica and silicon nitride on a semiconductor substrate. The method comprises ion-exchanging carboxylic acid polymer to reduce ammonia and combining by weight percent 0.01 to 5 of the ion-exchanged carboxylic acid polymer with 0.001 to 1 quaternary ammonium compound, 0.001 to 1 phthalic acid and salts thereof, 0.01 to 5 abrasive, and balance water.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: November 20, 2007
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Brian L. Mueller
  • Publication number: 20070264829
    Abstract: A chemical mechanical polishing slurry, contains an abrasive dispersed in deionized water and an organic viscosity modifier added to adjust the viscosity of the slurry to within a range of 0.5 to 3.2 cps.
    Type: Application
    Filed: December 29, 2006
    Publication date: November 15, 2007
    Applicant: Hynix Semiconductor Inc.
    Inventors: Yong Soo Choi, Jae Gon Choi, Gyu Hyun Kim
  • Patent number: 7288206
    Abstract: A high-purity alkali etching solution for silicon wafers results in silicon wafers with extremely low metal impurity contamination, and excellent surface flatness. The alkali etching solution contains sodium hydroxide containing 1 ppb or less of the elements Cu, Ni, Mg, and Cr, 5 ppb or less of the elements Pb and Fe, 10 ppb or less of the elements Al, Ca, and Zn, and 1 ppm or less of chloride, sulfate, phosphate, and nitrogen compounds other than nitrate and nitrite, and containing 0.01 to 10 wt % of nitrate and/or nitrite.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: October 30, 2007
    Assignee: Siltronic AG
    Inventor: Shigeki Nishimura
  • Patent number: 7288207
    Abstract: A method for manufacturing a silicon wafer includes a planarizing process 13 for polishing or lapping the upperside and lowerside surfaces of a thin disk-shaped silicon wafer obtained by slicing a silicon single crystal ingot, an etching process for dipping the silicon wafer into the etching liquid wherein silica powder is dispersed uniformly in an alkali aqueous solution, thereby etching the upperside and lowerside surfaces of the silicon wafer, and a both-side simultaneous polishing process 16 for polishing the upperside and lowerside surfaces of the etched silicon wafer simultaneously or a one-side polishing process for polishing the upperside and lowerside surfaces of the etched silicon wafer one after another, in this order.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: October 30, 2007
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Yuichi Kakizono, Tomohiro Hashii, Katsuhiko Murayama
  • Patent number: 7288489
    Abstract: The present invention provides an apparatus and method for use in processing semiconductor workpieces. The new apparatus and method allows for the production of thinner workpieces that at the same time remain strong. Particularly, a chuck is provided that includes a body, a retainer removeably attached to the body and a seal forming member. When a workpiece is placed on the chuck body and the retainer is engaged to the body, a peripheral portion of the back side of the workpiece is covered by the retainer while an interior region of the back side of the workpiece is exposed. The exposed back side of the workpiece is then subjected to a wet chemical etching process to thin the workpiece and form a relatively thick rim comprised of semiconductor material at the periphery of the workpiece. The thick rim or hoop imparts strength to the otherwise fragile, thinned semiconductor workpiece.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: October 30, 2007
    Assignee: Semitool, Inc.
    Inventors: Kert L. Dolechek, Raymon F. Thompson
  • Patent number: 7279424
    Abstract: A method is described for thin film processing using a selected CMP slurry with a silicon dioxide stop layer. The slurry includes an abrasive, preferably alumina, a corrosion inhibitor, preferably benzotriazole (BTA), and an oxidizer preferably hydrogen peroxide. The method is particularly useful for fabricating thin film heads where alumina is used as the dielectric. The method can be used to planarize metal structures surrounded by alumina in magnetic heads. The alumina refill is deposited to the final target height which is slightly below the height of the metal. A thin silicon dioxide stop layer is deposited over the alumina. The CMP is executed using the selected slurry to planarize the wafer down to the stop layer. Preferably only a negligible amount of the stop layer remains and the height of the metal structure is essentially the same as the deposited height of the refilled alumina.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: October 9, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Hung-Chin Guthrie, Ming Jiang, Hong Zhang
  • Patent number: 7275311
    Abstract: An apparatus and system for precise lapping of recessed and protruding elements in a workpiece is disclosed. According to one embodiment, a system is provided having an air bearing surface with electrical components embedded therein to provide a desired surface dimension thereof. The described system embodiment comprises a non-abrasive lapping plate having a lapping surface with a plurality of grooves therein, a support structure for supporting a workpiece such that an air bearing surface thereof is exposed, and a non-abrasive liquid. When the non-abrasive liquid is dispensed between the air bearing surface and the lapping plate, the lapping plate contacts the air bearing surface such that the air bearing surface is lapped solely by the grooves in the lapping plate. The electrical components of the air bearing surface are lapped such that they are substantially uniform in dimension relative to the air bearing surface.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: October 2, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Yuri Markevitch, Mark C. McMaster, Yu-En Percy Chang
  • Publication number: 20070218692
    Abstract: A copper-based metal polishing composition includes abrasive particles, a borate, an oxidizing agent, and water. A process for polishing a semiconductor substrate includes positioning the semiconductor substrate; polishing the positioned semiconductor substrate with a first polishing composition including abrasive particles, an ammonium borate, an oxidizing agent, and water, and having a pH of from 6.5 to 9; and further polishing the polished semiconductor substrate with a second polishing composition including abrasive particles, a potassium borate, an oxidizing agent, and water, and having a pH of from 7 to 10.
    Type: Application
    Filed: July 19, 2006
    Publication date: September 20, 2007
    Applicant: Nissan Chemical Industries, Ltd.
    Inventors: Jonathan J. Wolk, Walter A. Wolk
  • Patent number: 7270762
    Abstract: The polishing composition of this invention is useful for chemical-mechanical polishing of substrates containing noble metals such as platinum and comprises up to about 50% by weight of a adjuvant wherein said adjuvant is s elected from a group consisting of a metal-anion compound, a metal-cation compound or mixtures thereof; abrasive particles at about 0.5% to about 55% by weight of the polishing composition; and water-soluble organic additives up to about 10% by weight of the polishing composition. The abrasive particles are selected from the group consisting of alumina, ceria, silica, diamond, germania, zirconia, silicon carbide, boron nitride, boron carbide or mixtures thereof. The organic additives generally improve dispersion of the abrasive particles and also enhance metal removal rates and selectivity for metal removal by stabilizing the pH of the polishing composition and suppressing the dielectric removal rate.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: September 18, 2007
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hongyu Wang, Terence M. Thomas, Qianqiu Ye, Heinz F. Reinhardt, Vikas Sachan
  • Publication number: 20070212882
    Abstract: The substrate polishing method of the present invention can be used, in a substrate polishing apparatus having multiple carriers for one polishing pad, for determining a polishing time necessary to obtain a specific amount of polishing in polishing substrates using only some of the carriers among multiple carriers. In the present method, a correction coefficient indicating the correlation between the polishing time in polishing substrates using all the carriers and the polishing time in polishing substrates using only a part of the carriers is obtained in advance. The polishing time necessary for the specific amount of polishing in polishing substrates using only a part of the carriers is calculated based on the correction coefficient and the polishing time necessary for polishing the specific amount of polishing in polishing substrates using all of the carriers.
    Type: Application
    Filed: March 1, 2007
    Publication date: September 13, 2007
    Inventors: Hideaki Kunitake, Mamoru Kanemoto, Katsuyuki Ikenouchi, Yasunori Fukui
  • Patent number: 7258834
    Abstract: Methods and compositions for modifying a substrate surface are provided. In accordance with the subject methods, a substrate surface is contacted with a particulate-containing fluid. The fluid is then ultrasonically or sonically agitated to modify the substrate surface. In certain embodiments, the particulate-containing fluid has a pH above the isoelectric point of the substrate. Also provided are devices capable of providing ultrasonic and/or sonic energy and which include a non-acidic, particulate-containing fluid. The subject invention also provides systems and kits for use in practicing the subject methods.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: August 21, 2007
    Assignee: Agilent Technologies, Inc.
    Inventors: John F. McEntee, Michel G. M. Perbost, Joseph Vandenburg
  • Patent number: 7255809
    Abstract: Polishing slurry for texturing the surface of a magnetic hard disk substrate has abrading particles with diameters in the range of 1-10 nm dispersed in a dispersant such as water and a water-based aqueous solution. The abrading particles may be monocrystalline diamond particles, polycrystalline diamond particles or cluster particles with monoerystalline and polycrystalline diamond particles.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: August 14, 2007
    Assignee: NIHON Micro Coating Co., Ltd.
    Inventors: Yuji Horie, Hiromitsu Okuyama
  • Patent number: 7252782
    Abstract: A chemical mechanical polishing aqueous dispersion comprises abrasives (A) containing ceria, an anionic water-soluble polymer (B) and a cationic surfactant (C), wherein the amount of the anionic water-soluble polymer (B) is in the range of 60 to 600 parts by mass based on 100 parts by mass of the abrasives (A) containing ceria, and the amount of the cationic surfactant (C) is in the range of 0.1 to 100 ppm based on the whole amount of the chemical mechanical polishing aqueous dispersion.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: August 7, 2007
    Assignee: JSR Corporation
    Inventors: Norihiko Ikeda, Kazuo Nishimoto, Masayuki Hattori, Nobuo Kawahashi
  • Patent number: 7251878
    Abstract: A method and apparatus for defining leading edge taper of a write pole tip is disclosed. The fabrication process uses reactive ion etching to fabricate LET with tight control of the placement of LET's edge and to achieve higher angle for providing a higher effective write field at the pole tip while minimizing ATI for high-density perpendicular recording. The placement of a resist's edge is used to define the LET's edge and a CMP process is used to provide a planar surface for the fabrication of the write pole.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: August 7, 2007
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Quang Le, Jui-Lung Li, Yvette Chung Nga Winton, Sue Siyang Zhang, Yi Zheng
  • Publication number: 20070178700
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing a substrate comprising a phase change alloy (PCA), such as a germanium-antimony-tellurium (GST) alloy. The composition comprises not more than about 6 percent by weight of a particulate abrasive material in combination with an optional oxidizing agent, at least one chelating agent, and an aqueous carrier therefor. The chelating agent comprises a compound or combination of compounds capable of chelating a phase change alloy or component thereof (e.g., germanium, indium, antimony and/or tellurium species) that is present in the substrate, or chelating a substance that is formed from the PCA during polishing of the substrate with the CMP composition. A CMP method for polishing a phase change alloy-containing substrate utilizing the composition is also disclosed.
    Type: Application
    Filed: January 29, 2007
    Publication date: August 2, 2007
    Inventors: Jeffrey Dysard, Paul Feeney, Sriram Anjur