Means To Align Or Position An Object Relative To A Source Or Detector Patents (Class 250/491.1)
  • Patent number: 8619758
    Abstract: The present invention includes a network telephone having a microphone coupled to provide voice data to a network, a speaker coupled to facilitate listening to voice data from the network, a dialing device coupled to facilitate routing of voice data upon the network, a first port configured to facilitate communication with a first network device, a second port configured to facilitate communication with a second network device and a prioritization circuit coupled to apply prioritization to voice data provided by the microphone.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: December 31, 2013
    Assignee: Broadcom Corporation
    Inventors: Theodore F. Rabenko, Ian Crayford, David L. Hartman, Jr.
  • Patent number: 8616767
    Abstract: An imaging platform assembly is used to support a hoofed animal, especially horses, during a foot imaging process. The platform assembly includes a platform and flush mounted turntables that allow the animal's feet to rotate under the animal's power, thereby allowing the animal to assume a more natural stance. By allowing this rotation, the animal less likely to cause trouble during the imaging process. One or more of the turntables include a slot that is configured to support an image capturing device, such as an x-ray cartridge. One to all of the animal's feet may be on turntables, depending on the platform configuration.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: December 31, 2013
    Inventor: Martin D. Kenny
  • Patent number: 8614427
    Abstract: One embodiment disclosed relates to a method for fabricating a calibration sample. The method includes lithographically patterning a first side of a wafer with a pattern of a self-supporting membrane, etching the first side of the wafer to form the self-supporting membrane in a layer on the first side, and etching a second side of the wafer to reach the layer so as to suspend the membrane over an empty space. Another embodiment disclosed relates to a charged particle beam system. The system includes a charged particle source, a focusing column and lens assembly, a detector, and a suspended membrane calibration sample. Another embodiment disclosed relates a suspended membrane calibration sample for a charged particle beam system. The calibration sample includes a plurality of calibration patterns in an array, a suspended membrane that is self-supporting and includes the plurality of calibration patterns, and an empty space underneath the membrane.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: December 24, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Mark A. McCord, Liqun Han
  • Patent number: 8604448
    Abstract: A UVLED apparatus and method provide efficient curing of an optical-fiber coating onto a drawn glass fiber. The apparatus and method employ one or more UVLEDs that emit electromagnetic radiation into a curing space. An incompletely cured optical-fiber coating, which is formed upon a glass fiber, absorbs emitted and reflected electromagnetic radiation to effect improved curing.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: December 10, 2013
    Assignee: Draka Comteq, B.V.
    Inventors: Johannes Antoon Hartsuiker, Bob J. Overton, Xavier Meersseman
  • Publication number: 20130320202
    Abstract: A method for aligning the axis of an atom beam with the orientation of an electric field at a particular location within an enclosure for use in creating a charged particle source by photoionizing a cold atom beam. The method includes providing an atom beam in the enclosure, providing a plurality of electrically conductive devices in said enclosure, evacuating the enclosure to a pressure below about 10?6 millibar, and aligning the axis of the atom beam with the orientation of the electric field, relative to each other, within less than about two degrees. Alignment may be facilitated by applying at least one voltage to the electrically conductive devices, mechanically tilting the atom beam's axis orientation of the electric field relative to each other and/or causing a deflection of the atom beam.
    Type: Application
    Filed: August 8, 2013
    Publication date: December 5, 2013
    Applicant: United States of America, as represented by the Secretary of Commerce, NIST
    Inventors: Jabez McClelland, Brenton Knuffman, Adam Steele
  • Patent number: 8598527
    Abstract: A scanning transmission electron microscope includes an electron beam source to generate an electron beam. Beam optics are provided to converge the electron beam to a probe, such as for example a longitudinally stretched probe. A stage is provided to hold a specimen in the path of the electron beam. The specimen may include one or more elongated objects, such as for example polymers to be sequenced. A beam scanner scans the electron beam across the specimen. A controller may define one or more scanning areas corresponding to the locations of the elongated objects, and control one or more of the beam scanner and stage to selectively scan the electron beam probe in the scanning areas. The controller may also tune the beam optics during imaging. One or more detectors are provided to detect electrons transmitted through the specimen to generate an image for each of the scanning areas.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: December 3, 2013
    Assignee: Mochii, Inc.
    Inventors: Christopher Su-Yan Own, William Andregg, Michael Lee Andregg
  • Patent number: 8598538
    Abstract: In a substrate stage device, a substrate is held by a substrate support member mounted on a Y step surface plate. The substrate support member moves in the scanning direction in long strokes on the Y step surface plate. The part corresponding to an exposure area of the substrate is held by suction in a non-contact manner from below by a fixed point stage, and other parts are supported by levitation by the plurality of air floating devices placed on the Y step surface plate. The part corresponding to the exposure area of the substrate is controlled so that surface position of the substrate is located in the depth of focus of the projection optical system by the fixed point stage.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: December 3, 2013
    Assignee: Nikon Corporation
    Inventor: Yasuo Aoki
  • Patent number: 8586948
    Abstract: A particle beam irradiation apparatus comprises a particle beam shielding member which shields a part of a particle beam which is scanned, a prompt signal detector which detects a prompt signal which is generated when the particle beam which is scanned collides with the particle beam shielding member and a signal comparison device which predicts and obtains a generation pattern of a prompt signal which is generated with a predetermined scanning pattern and stores as a signal time pattern for comparison, wherein the signal comparison device detects an abnormality of scanning of a particle beam or the particle beam shielding member by comparing a detected signal time pattern which is a time pattern of a signal which is detected by the prompt signal detector to a signal time pattern for comparison which is stored.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: November 19, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Yuehu Pu, Hisashi Harada, Taizo Honda
  • Patent number: 8569151
    Abstract: A method of formation of nanowires at a surface of a substrate attached to a solid immersion lens. The method includes formation of a catalyst element at the surface of the substrate and growth of nanowires from the catalyst element formed at the surface of the substrate. The catalyst element is a metal nanoparticle and the formation of the catalyst element at the surface of the substrate deposits the metal nanoparticle using a light beam focused by the solid immersion lens at the surface of the substrate.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: October 29, 2013
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Delphine Neel, Pierre Ferret, Stéphane Getin
  • Patent number: 8569720
    Abstract: A patient alignment system for a radiation therapy system. The alignment system includes multiple external measurement devices which obtain position measurements of components of the radiation therapy system which are movable and/or are subject to flex or other positional variations. The alignment system employs the external measurements to provide corrective positioning feedback to more precisely register the patient and align them with a radiation beam. The alignment system can be provided as an integral part of a radiation therapy system or can be added as an upgrade to existing radiation therapy systems.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: October 29, 2013
    Assignee: Loma Linda University Medical Center
    Inventors: Nickolas S. Rigney, Daniel C. Anderson, David A. Lesyna, Daniel W. Miller, Michael F. Moyers, Chieh C. Cheng, Michael A. Baumann
  • Patent number: 8563952
    Abstract: A charged particle beam writing apparatus, includes a unit to input information about a stripe region height, and to judge, when a write region is divided into stripe regions in a thin rectangular shape by the stripe region height, whether a height of a last stripe region is narrower than the stripe region height; and a unit to divide the write region into stripe regions in the thin rectangular shape in such a way that the last stripe region and a stripe region prior to the last stripe region are combined to create one stripe region and stripe regions at least two stripe regions prior to the last stripe region are each created as stripe regions of the stripe region height if the height of the last stripe region is narrower than the stripe region height.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: October 22, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Jun Yashima, Akihito Anpo
  • Patent number: 8565375
    Abstract: An accessory, method, and system is provided for protecting the lower chamber of X-ray spectroscopic instrumentation during analysis, the instrumentation including an upper chamber, a lower chamber, and a dividing plate, the lower chamber including an X-ray detector and an excitation source, the accessory including: a frame with a centrally-located aperture extending from one side of the frame to an opposite side of the frame; an adhesive layer disposed on each side of the frame; a thin film of polymeric material disposed on one side of the frame; and a removably attached release sheet on the adhesive layer disposed on the opposite side of the frame, where the accessory is disposed on a surface of the dividing plate to protect the lower chamber of the instrumentation from damage.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: October 22, 2013
    Assignee: Chemplex Industries, Inc.
    Inventor: Monte J. Solazzi
  • Patent number: 8552408
    Abstract: Provided is a particle beam irradiation apparatus capable of highly reliable measurement of a dose of each beam and capable of highly sensitive measurement of a leakage dose caused by momentary beam emission.
    Type: Grant
    Filed: February 7, 2011
    Date of Patent: October 8, 2013
    Assignees: Kabushiki Kaisha Toshiba, National Institute of Radiological Sciences
    Inventors: Katsushi Hanawa, Yasushi Iseki, Nobukazu Kakutani, Takuji Furukawa, Taku Inaniwa, Shinji Sato, Kouji Noda
  • Patent number: 8525123
    Abstract: An ultra low-k dielectric material layer is formed on a semiconductor substrate. In one embodiment, a grid of wires is placed at a distance above a top surface of the ultra low-k dielectric material layer and is electrically biased such that the total electron emission coefficient becomes 1.0 at the energy of electrons employed in electron beam curing of the ultra low-k dielectric material layer. In another embodiment, a polymeric conductive layer is formed directly on the ultra low-k dielectric material layer and is electrically biased so that the total electron emission coefficient becomes 1.0 at the energy of electrons employed in electron beam curing of the ultra low-k dielectric material layer. By maintaining the total electron emission coefficient at 1.0, charging of the substrate is avoided, thus protecting any device on the substrate from any adverse changes in electrical characteristics.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: September 3, 2013
    Assignee: International Business Machines Corporation
    Inventors: Christos D. Dimitrakopoulos, Kam L. Lee, Robert L. Wisnieff
  • Patent number: 8524584
    Abstract: Methods and carbon ion precursor compositions for implanting carbon ions generally includes vaporizing and ionizing a gas mixture including carbon oxide and methane gases in an ion source to create a plasma and produce carbon ions. The ionized carbon within the plasma is then extracted to form an ion beam. The ion beam is mass analyzed with a mass analyzer magnet to permit the ionized carbon to pass therethrough and implant into a workpiece.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: September 3, 2013
    Assignee: Axcelis Technologies, Inc.
    Inventors: William D. Lee, Daniel R. Tieger, Tseh-Jen Hsieh
  • Patent number: 8519364
    Abstract: A positioning system for precise stage is provided. It includes a designed pattern on a stage; an electron beam column generating a focused electron beam to scan the designed pattern and produce electron signal; an electron detection unit to detect the electronic signal; and a control unit converting the electron signal to a clock signal to determine the relative position of the electron beam column and the designed pattern, so as to adjust the displacement of the stage. A nanometer scale positioning method for a precise stage is provided, which can resolve the problem of mechanical drift of the stage when the stage is multi-axis positioning or rotating.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: August 27, 2013
    Assignee: National Synchrontron Radiation Research Center
    Inventors: Gung-Chian Yin, Te-Hui Lee
  • Patent number: 8519458
    Abstract: A light-emitting element detection and classification device includes a rotation unit for transporting a plurality of light-emitting elements, a chip detection unit, and a chip classification unit. The rotation unit includes at least one rotary turntable, a plurality of receiving portions formed on the rotary turntable, and a plurality of suction-exhaust dual-purpose openings respectively disposed in the receiving portions, each receiving portion selectively receives at least one of the light-emitting elements. Each light-emitting element is an LED package chip having a positive electrode pad and a negative electrode pad disposed on the bottom side thereof. The chip detection unit includes at least one chip detection module adjacent to the rotation unit for detecting each light-emitting element. The chip classification unit includes at least one chip classification module adjacent to the rotation unit for classifying the LED package chips that have been detected by the at least one chip detection module.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: August 27, 2013
    Assignee: Youngtek Electronics Corporation
    Inventors: Bily Wang, Kuei-Pao Chen, Hsin-Cheng Chen
  • Patent number: 8519363
    Abstract: An ion implanter performs ion implantation by irradiating a wafer having a notch at its outer peripheral region by an ion beam. In ion implanter, a twist angle adjustment mechanism is configured to adjust a twist angle, an aligner is configured to adjust an alignment angle, a wafer transfer device is configured to transfer the wafer between the aligner and the twist angle adjustment mechanism, an image processing device is configured to detect the twist angle of the wafer on the twist angle adjustment mechanism, and a control device is configured to carry out a twist control in which the wafer is rotated by the twist angle adjustment mechanism by an angle obtained from a first difference between the detected twist angle and the alignment angle and a second difference between the alignment angle and a target twist angle given as one of ion implantation conditions.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: August 27, 2013
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventors: Kohei Tanaka, Takashi Nogami, Masayoshi Hino
  • Patent number: 8518051
    Abstract: A system and method for knee arthroplasty procedures, using a novel leg rotation fixture to enable the leg mechanical axis, the tibia and the femur to be mutually disposed such that the load bearing, mechanical axis of the leg runs through the center of the knee joint. A measurement gauge is provided for mounting on the tibia and for aligning a baseplate in a known position on the tibia. This baseplate supports an X-ray target plate in a known position relative to the tibia, used in determining the mechanical axis, and an optional surgical robot, used to perform tibial and femoral cuts. The position of the femur relative to the robot may be determined from X-ray imaging of the pelvic region after attachment to the baseplate of an additional target extending to the pelvic region. The system enables improvement in the accuracy of knee arthroplasty procedures.
    Type: Grant
    Filed: May 16, 2004
    Date of Patent: August 27, 2013
    Assignee: Mazor Robotics Ltd.
    Inventors: Moshe Shoham, Michael Burman
  • Patent number: 8513065
    Abstract: A method of manufacturing a display device is disclosed. In one embodiment, the method includes: i) forming a semiconductor layer where a plurality of crystallized areas and a plurality of noncrystallized areas are alternately arranged on a substrate, ii) aligning the substrate based on a difference in contrast ratio between the crystallized and noncrystallized areas and iii) performing a photo process or a photolithography process.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: August 20, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Seong-Hyun Jin, Jae-Beom Choi, Won-Kyu Lee, Young-Jin Chang, Jae-Hwan Oh
  • Patent number: 8515008
    Abstract: An apparatus and a method for X-ray fluorescence analysis of a mineral sample is disclosed. The apparatus comprises an X-ray source (2) for generating an X-ray beam to irradiate the mineral sample; at least one fluorescence detector (4,5) for measuring fluorescent radiation emitted by the mineral sample when irradiated by the X-ray beam; and a processing unit for providing an analysis of the mineral sample based on the measurements made by the at least one fluorescence detector (4,5). Further, the apparatus comprises a sample container (3) arranged to hold the mineral sample during the irradiation, wherein the sample container is arranged to provide at least two different irradiation paths through said mineral sample during irradiation. An advantage with this arrangement is that it enables analysis of elements having a wide range of atomic numbers in a single sample with improved reliability and accuracy.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: August 20, 2013
    Assignee: Orexplore AB
    Inventors: Anders Ullberg, Erik Odén, Ragnar Kullenberg, Frédrik Danielsson
  • Patent number: 8502145
    Abstract: The invention provides a system for achieving detection and measurement of film thickness reduction of a resist pattern with high throughput which can be applied to part of in-line process management. By taking into consideration the fact that film thickness reduction of the resist pattern leads to some surface roughness of the upper surface of the resist, a film thickness reduction index value is calculated by quantifying the degree of roughness of the part corresponding to the upper surface of the resist on an electron microscope image of the resist pattern which has been used in the conventional line width measurement. The amount of film thickness reduction of the resist pattern is estimated by applying the calculated index value to a database previously made for relating a film thickness reduction index value to an amount of film thickness reduction of the resist pattern.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: August 6, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Mayuka Iwasaki, Chie Shishido, Maki Tanaka
  • Patent number: 8502175
    Abstract: A charged particle beam pattern forming apparatus, includes a charge amount distribution calculation unit configured to calculate a charge amount distribution charged by vertical incidence of a charged particle beam on a pattern forming region of a target object; a position correction unit configured to calculate, using the charge amount distribution charged, a corrected position of each pattern forming position corrected for a misregistration amount including a misregistration amount dependent on a deflection position where the charged particle beam is deflected, the misregistration amount caused by an amount of charge; and a pattern generator configured to form a pattern in the corrected position by using the charged particle beam.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: August 6, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Seiji Wake, Hideo Inoue, Akihito Anpo
  • Patent number: 8502163
    Abstract: A valve unit configured for a charged particle beam device having a beam path 2 is described. The valve unit includes a vacuum sealed valve housing 102 configured for a pressure difference between the inside of the valve housing and the outside of the valve housing, wherein the housing provides a beam path portion 103 for having a charged particle beam pass therethrough along the beam path, a valve positioning unit adapted for selectively providing a first movement of the valve housing such that the beam path portion is selectively moved into and out of the beam path, and at least one sealing element 122 configured for a second movement, wherein the second movement is different from the first movement.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: August 6, 2013
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventor: Thomas Jasinski
  • Publication number: 20130193349
    Abstract: A system for motion control of a cone-beam tomography recording device includes a drive system configured to position a paired radiation source and radiation detector relative to an object. The system further includes a controller configured to: initiate a trigger plan operable as a function of a constant frequency control signal, activate the paired radiation source and radiation detector in accordance with the trigger plan, drive, in response to the trigger plan, the first drive system to a steady operating state derived as a function of the constant frequency, capture a plurality of broadcast radiation signals representative of a plurality of two dimensional images of the object such that the two dimensional images are defined equidistant positions defined by the trigger plan and as a function of the constant frequency signal, and generate a three dimensional representation of the object based on the plurality of two dimensional images.
    Type: Application
    Filed: January 31, 2012
    Publication date: August 1, 2013
    Inventors: Marcus Gutfleisch, Thomas Tücking, Douglas Howard, Edward Lewis Calderon
  • Patent number: 8497476
    Abstract: An inspection device for inspecting a surface of an inspection object using a beam includes a beam generator capable of generating one of either charge particles or an electromagnetic wave as a beam, a primary optical system capable of guiding and irradiating the beam to the inspection object supported within a working chamber, a secondary optical system capable of including a first movable numerical aperture and a first detector which detects secondary charge particles generated from the inspection object, the secondary charge particles passing through the first movable numerical aperture, an image processing system capable of forming an image based on the secondary charge particles detected by the first detector; and a second detector arranged between the first movable numerical aperture and the first detector and which detects a location and shape at a cross over location of the secondary charge particles generated from the inspection object.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: July 30, 2013
    Assignee: Ebara Corporation
    Inventors: Masahiro Hatakeyama, Shoji Yoshikawa, Takeshi Murakami, Kenji Watanabe, Yoshihiko Naito, Yasushi Toma, Tsutomu Karimata, Takehide Hayashi, Kiwamu Tsukamoto, Tatsuya Kohama, Noboru Kobayashi
  • Patent number: 8487270
    Abstract: A particle beam device includes a movable carrier element with at least one receiving element for receiving a specimen and in which the receiving element is situated on the carrier element. In various embodiments, the receiving element may be situated removably on the carrier element and/or multiple receiving elements may be situated on the carrier element in such a way that a movement of the carrier element causes a movement of the multiple receiving elements in the same spatial direction or around the same axis. The carrier element may be movable in three spatial directions situated perpendicular to one another and rotatable around a first axis which is parallel to an optical axis of the particle beam device and around a second axis which is situated perpendicular to the optical axis. A method for using the particle beam device in connection with specimen study and preparation is also disclosed.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: July 16, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Ulrike Zeile, Andreas Schertel
  • Patent number: 8487281
    Abstract: In a multi-column electron beam exposure apparatus for performing exposure treatment in parallel by arranging a plurality of column cells on a wafer, a relationship between exposure intensity and a line width for each column cell is obtained (Steps S41 and S44). Then, correction parameters are obtained, which allow a relationship between exposure intensity and a line width for a correction target column cell to coincide with a relationship between exposure intensity and a line width for a reference column cell selected from among the plurality of column cells (Steps S43 and S46). Thereafter, exposure time of each column cell is obtained by correcting the exposure time of the reference column cell based on the correction parameters thus obtained.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: July 16, 2013
    Assignee: Advantest Corp.
    Inventors: Masaki Kurokawa, Akio Yamada, Tatsuro Okawa
  • Patent number: 8481967
    Abstract: A method of processing objects by a FIB (Focused Ion Beam) system and a carrier used therewith are provided. The carrier includes a carrying member and a processing portion having an object disposed thereon. Before the carrier is disposed into the FIB system, the carrying member is set to be flush in height with the processing portion having the object disposed thereon. After an eucentric height adjustment inside the FIB system, both the carrying member and the processing portion are in a same plane with the eucentric point of the system. Therefore, after the object on the processing portion is processed, a processed object or a processed block of the object can be moved to the carrying member without performing further eucentric height adjustment with respect to the carrying member.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: July 9, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Shen-Chuan Lo, Ming-Wei Lai, Shi-Ri Lee, Li-Jiaun Lin
  • Publication number: 20130161537
    Abstract: A solar simulator includes a light source having an optical axis linearly elongated, a feed means for feeding a long continuous film-shaped irradiation object, and a position regulating means for regulating the long continuous film-shaped irradiation object in position to surround the light source so that a center of the long continuous film-shaped irradiation object is coaxial with the optical axis. The long continuous film-shaped irradiation object regulated in position is irradiated with light from the light source.
    Type: Application
    Filed: June 2, 2011
    Publication date: June 27, 2013
    Applicant: Yamashita Denso Corporation
    Inventor: Yutaka Hatakeyama
  • Patent number: 8471222
    Abstract: A radiotherapy apparatus control method according to the present invention includes: a step S6 of calculating rotational and first translational correction amounts based on a position and orientation of a first region represented by a radioscopic image of a subject; and a step S9 of calculating a second translational correction amount based on a position and orientation of the second region represented by the radioscopic image and the rotational correction amount; and a step S10 of driving a couch so that it rotates by the rotational correction amount and translates by the second translational correction amount. The first region is larger than the second region. According to such a method, the second region can be arranged in a predetermined position at higher accuracy compared with a case where the position of the couch is adjusted by using the position and orientation of only one of the first and second regions.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: June 25, 2013
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Takanobu Handa, Shuji Kaneko, Noritaka Yanai
  • Patent number: 8471223
    Abstract: A sample stage for processing a sample in an ion beam etching apparatus has positioning arrangements each having a receiving apparatus and a mask, a sample being mountable in the receiving apparatus with reference to an ion beam and positionable relative to the mask. The sample stage includes a mechanism that enables a switchover between respective positioning arrangements so a selected positioning arrangement is respectively orientable toward the ion beam. The sample in the selected positioning arrangement is exposed to the ion beam while the remaining positioning arrangements face away from the ion beam. The positioning arrangements are arranged in one common vessel. A method for sequential preparation of at least two samples in an ion beam etching unit using the sample stage is also disclosed.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: June 25, 2013
    Assignee: Leica Mikrosysteme GmbH
    Inventors: Thomas Pfeifer, Heinz Plank
  • Patent number: 8465699
    Abstract: An analytical device including an optically opaque cladding, a sequencing layer including a substrate disposed below the cladding, and a waveguide assembly for receiving optical illumination and introducing illumination into the device. The illumination may be received from a top, a side edge, and a bottom of the device. The waveguide assembly may include a nanoscale aperture disposed in the substrate and extending through the cladding. The aperture defines a reaction cell for receiving a set of reactants. In various aspects, the device includes a sensor element and the illumination pathway is through the sensor element. Waveguides and illumination devices, such as plasmonic illumination devices, are also disclosed. Methods for forming and operating the devices are also disclosed.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: June 18, 2013
    Assignee: Pacific Biosciences of California, Inc.
    Inventors: Adrian Fehr, Nathaniel Joseph McCaffrey, Stephen Turner
  • Patent number: 8461550
    Abstract: Plastic containers fed by an article feeder housed in a shield chamber are sterilized by being irradiated with an electron beam that is radiated from an irradiation window of an electron beam irradiation unit which is coupled to an opening of the shield chamber. In a maintenance mode, an adjustment irradiation box is detachably mounted on the electron beam irradiation unit in covering relation to the irradiation window of the electron beam irradiation unit. The adjustment irradiation box houses therein an electron beam receiver for receiving the electron beam radiated from the irradiation window, a cooling mechanism for cooling the electron beam receiver, and an exhaust mechanism for discharging an atmosphere in the adjustment irradiation box.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: June 11, 2013
    Assignee: Shibuya Kogyo Co., Ltd.
    Inventors: Yukinobu Nishino, Tokuo Nishi, Yukihiro Yamamoto
  • Patent number: 8461553
    Abstract: An improved method of producing solar cells utilizes a mask which is fixed relative to an ion beam in an ion implanter. The ion beam is directed through a plurality of apertures in the mask toward a substrate. The substrate is moved at different speeds such that the substrate is exposed to an ion dose rate when the substrate is moved at a first scan rate and to a second ion dose rate when the substrate is moved at a second scan rate. By modifying the scan rate, various dose rates may be implanted on the substrate at corresponding substrate locations. This allows ion implantation to be used to provide precise doping profiles advantageous for manufacturing solar cells.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: June 11, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas P. T. Bateman, Steven M. Anella, Benjamin B. Riordon, Atul Gupta
  • Patent number: 8455847
    Abstract: In an ion implanter, an ion current measurement device is disposed behind a mask co-planarly with respect to a surface of a target substrate as if said target substrate was positioned on a platen. The ion current measurement device is translated across the ion beam. The current of the ion beam directed through a plurality of apertures of the mask is measured using the ion current measurement device. In this manner, the position of the mask with respect to the ion beam as well as the condition of the mask may be determined based on the ion current profile measured by the ion current measurement device.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: June 4, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Benjamin B. Riordon, Nicholas P. T. Bateman, William T. Weaver, Russell J. Low
  • Patent number: 8445846
    Abstract: The present invention relates to a beam optical component including a charged particle lens for focusing a charged particle beam, the charged particle lens comprising a first element having a first opening for focusing the charged particle beam; a second element having a second opening for focusing the charged particle beam and first driving means connected with at least one of the first element and the second element for aligning the first opening with respect to the second opening. With the first driving means, the first opening and the second opening can be aligned with respect to each other during beam operation to provide a superior alignment of the beam optical component for a better beam focusing. The present invention also relates to a charged particle beam device that uses said beam optical component for focusing the charged particle beam, and a method to align first opening and second opening with respect to each other.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: May 21, 2013
    Assignee: ICT Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventor: Juergen Frosien
  • Publication number: 20130119267
    Abstract: An in situ optical specimen holder is disclosed which allows imaging and analysis during dynamic experimentation. This holder assembly includes a set of focusing and reflection optics along with an environmental cell. Electromagnetic radiation can be used to optically excite the specimen in the presence or absence of fluid and the source of such radiation may be located within the body of the holder itself. The spot size of the irradiation at the specimen surface can be varied, thus exciting only a specific region on the specimen. The window type cell provides a variable fluid path length ranging from the specimen thickness to 500 ?m. The holder has the provision to continuously circulate fluids over the specimen. The pressure within the cell can be regulated by controlling the flow rate of the fluids and the speed of the pumps.
    Type: Application
    Filed: May 8, 2012
    Publication date: May 16, 2013
    Applicant: E.A. FISCHIONE INSTRUMENTS, INC.
    Inventors: Pushkarraj V. Deshmukh, Jeffrey J. Gronsky, Paul E. Fischione
  • Patent number: 8440988
    Abstract: A magnetically shielded, efficient plasma generation configuration for a pulsed discharge extreme ultraviolet (EUV) light source comprises two opposed convex electrodes mounted with axes parallel to a static magnetic field. A limiter aperture disposed between the electrodes, in conjunction with the field lines, defines a hollow plasma cylinder connecting the electrodes. A high pulsed voltage and current compresses the plasma cylinder and its interior magnetic field onto the electrode surfaces to create a magnetic insulating layer at the same time as propelling the working gas from each side toward the space between the electrode tips. The plasma then collapses radially in a three-dimensional compression to form a dense plasma on the axis of the device with radiation of extreme ultraviolet light.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 14, 2013
    Assignee: PLEX LLC
    Inventor: Malcolm W. McGeoch
  • Patent number: 8421041
    Abstract: The invention comprises intensity control of a charged particle beam acceleration, extraction, and/or targeting method and apparatus used in conjunction with charged particle beam radiation therapy of cancerous tumors. Particularly, intensity of a charged particle stream of a synchrotron is described. Intensity control is described in combination with turning magnets, edge focusing magnets, concentrating magnetic field magnets, winding and control coils, and extraction elements of the synchrotron. The system reduces the overall size of the synchrotron, provides a tightly controlled proton beam, directly reduces the size of required magnetic fields, directly reduces required operating power, and allows continual acceleration of protons in a synchrotron even during a process of extracting protons from the synchrotron.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: April 16, 2013
    Inventor: Vladimir Balakin
  • Patent number: 8421043
    Abstract: A solid state radiation source array is provided, the array comprising at least one solid state ultraviolet radiation source and at least one solid state infrared radiation source.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: April 16, 2013
    Assignee: Sun Chemical Corporation
    Inventors: Nigel Anthony Caiger, Hartley David Selman, Shaun Lawrence Herlihy
  • Patent number: 8410438
    Abstract: A charged particle beam device has a tilt detection unit that detects a tilt of a sample surface and an E×B deflector in which an electric field and a magnetic field are overlapped with each other and which causes, according to the detected tilt of the sample surface, the sample surface to be perpendicularly irradiated with an irradiation charged particle beam while, at the same time, aligning the trajectory of the charged particle beam with the optical axis centers of an irradiation optical system and an imaging optical system; thereby, the charged particle beam device can prevent problems possibly occurring in cases where a sample stage is tilted or a sample surface is undulating and can enable an accurate image to be acquired.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: April 2, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Tomokazu Shimakura, Masaki Hasegawa
  • Patent number: 8410457
    Abstract: A sample transfer device is provided which can insert to a charged particle beam apparatus a sample to be observed and analyzed under irradiation of a charged particle beam while suppressing to a minimum the time to expose the sample to the atmospheric environment. The sample transfer device for transferring the sample to be observed and analyzed by irradiating the charged particle beam comprises an expansible hollow member capable of accommodating a sample holder mounting the sample, a fixing member for fixing the sample holder within the expansible hollow member, and a sealing member communicating with the interior of the expansible hollow member to open/close an opening through which the sample holder passes.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: April 2, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Shohei Terada, Tatsumi Hirano, Koichi Watanabe, Yasuichiro Watanabe, Hiromitsu Seino
  • Patent number: 8411270
    Abstract: Methods, apparatuses and systems for monitoring a stage alignment in a processing system are disclosed. A method for monitoring a stage alignment in a processing system may include providing a calibration target on a surface of the stage; measuring an angle of incident of a light beam to the calibration target; and monitoring the stage alignment based on the determined angle of incidence.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Shahin Zangooie, Lin Zhou, Roger M. Young, Clemente Bottini, Ronald D. Fiege
  • Patent number: 8405409
    Abstract: The high speed, high accuracy capacitive gauging system employs an oscillator fed through steep slope filter that is discriminates between very small changes in capacitance even in the presence of electrical noise. During intervals when the probe tip is retracted, the oscillator frequency is calibrated to match the sweet spot in the center of the linear operative region of the steep slope filter. This calibrates the system to overcome the effects of varying temperature and humidity in the manufacturing environment.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: March 26, 2013
    Assignee: Laser Mechanisms, Inc.
    Inventors: Gerald F. Hermann, Daniel R. Buckley, Christopher R. D. Miller, Keith A. Jefferies
  • Patent number: 8405050
    Abstract: A method of determining an actual, especially an actual effective, radiation dose distribution of a moving target volume includes detecting first and further positions of volume elements of the target volume in a first and at least one further motional state of the moving target volume, determining transformation parameters by transformation of the first positions into the further positions, irradiating the moving target volume in accordance with an irradiation plan which comprises a plurality of raster points to be irradiated, wherein during the irradiation of a raster point it is detected which of the motional states is occupied by the moving target volume, assigning raster points to subirradiation plans and determining the actual effective dose for each of the plurality of volume elements, in each case from contributions from the raster points of the subirradiation plans using the transformation parameters.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: March 26, 2013
    Assignee: GSI Helmholtzzentrum Fuer Schwerionenforschung GmbH
    Inventors: Christoph Bert, Gerhard Kraft, Eike Rietzel, Alexander Gemmel
  • Publication number: 20130056650
    Abstract: A method for aligning a plurality of sub-apertures of a multiple-aperture imaging system including, but not limited to, identifying one sub-aperture to serve as a reference sub-aperture, actuating the reference sub-aperture in a series of piston steps of a known amount, collecting data relating to each image of a plurality of images of a point object, each image corresponding to a respective piston step, compiling the data into a three-dimensional data cube, detecting a plurality of fringes positioned within the three-dimensional data cube, determining the relative location of each sub-aperture of the plurality of sub-apertures based on a location of each fringe of the plurality of fringes within the three-dimensional data cube, and actuating a piston associated with at least one sub-aperture based, at least in part, on the relative location to move the at least one sub-aperture into alignment with another sub-aperture and repeating with each remaining sub-aperture until all sub-apertures are at substantially
    Type: Application
    Filed: September 2, 2011
    Publication date: March 7, 2013
    Applicant: GENERAL DYNAMICS ADVANCED INFORMATION SYSTEMS
    Inventors: Timothy J. Schulz, Richard G. Paxman
  • Patent number: 8389962
    Abstract: A system and method for noise compensation of a charged particle beam location includes one or more sensors that are spaced apart from each other for sensing magnetic noises within at least one predefined frequency band thereby to provide magnetic noise measurements with synchronous detection of the location of a charged particle beam. Based on the magnetic noise measurements and on relationships between values of the magnetic noises and particle beam location errors, magnetic noise compensations signals are generated. An object is then scanned by a particle beam in response to a desired particle beam scan pattern and the magnetic noise compensation signals.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: March 5, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Konstantine Chirko, Alon Litman, Yoav Nachum
  • Patent number: 8384048
    Abstract: The invention provides a method for patterning a resist coated substrate carried on a stage, where the patterning utilizes a charged particle beam. The method comprises the steps of: moving the stage at a nominally constant velocity in a first direction; while the stage is moving, deflecting the charged particle beam in the first direction to compensate for the movement of the stage, the deflecting including: (a) compensating for an average velocity of the stage; and (b) separately compensating for the difference between an instantaneous position of the stage and a calculated position based on the average velocity. The separately compensating step uses a bandwidth of less than 10 MHz. The invention also provides a deflector control circuit for implementing the separate compensation functions.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: February 26, 2013
    Assignee: Multibeam Corporation
    Inventor: John C. Wiesner
  • Patent number: 8384051
    Abstract: The drawing apparatus of the present inventions includes a detector having a size for which the detector can simultaneously detect two adjacent charged particle beams among a plurality of charged particle beams, and configured to detect an intensity of a charged particle beam incident thereon. A controller is configured to perform a control of a position of the detector and a control of a blanking deflector array such that one of two adjacent charged particle beams is in a blanking state and the other is in a non-blanking state on the detector that is moved, and each of the plurality of charged particle beams becomes in a blanking state and a non-blanking state sequentially, to cause the detector to perform an output in parallel with the control, and to inspect a defect in each blanking deflector in the blanking deflector array based on the output.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 26, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kimitaka Ozawa