With Lattice Constant Mismatch (e.g., With Buffer Layer To Accommodate Mismatch) Patents (Class 257/190)
  • Patent number: 10538858
    Abstract: In a method for manufacturing a group 13 nitride crystal, a seed crystal made of a group 13 nitride crystal is arranged in a mixed melt containing an alkali metal and a group 13 element, and nitrogen is supplied to the mixed melt to grow the group 13 nitride crystal on a principal plane of the seed crystal. The seed crystal is manufactured by vapor phase epitaxy. At least a part of contact members coming into contact with the mixed melt in a reaction vessel accommodating the mixed melt is made of Al2O3. An interface layer having a photoluminescence emission peak whose wavelength is longer than the wavelength of a photoluminescence emission peak of the grown group 13 nitride crystal is formed between the seed crystal and the grown group nitride crystal.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: January 21, 2020
    Assignee: SCIOCS COMPANY LIMITED
    Inventors: Masahiro Hayashi, Takashi Satoh, Naoya Miyoshi, Junichi Wada, Seiji Sarayama
  • Patent number: 10541238
    Abstract: A method is provided for fabricating a FinFET. The method includes providing a substrate including an NMOS region; forming a plurality of fins on the substrate; forming an isolation layer between adjacent fins and on the substrate; forming a gate structure across a length portion of the fin; forming a first mask layer on the top surface and sidewalls of the fin; etching the first mask layer to expose the top surface of the fin on both sides of the gate structure; removing a thickness portion of the fin on both sides of the gate structure, wherein the etched fin and the remaining first mask layer form a first trench; performing a thinning treatment of the remaining first mask layer on a sidewall of the first trench to increase width of the first trench; and forming an N-type in-situ doped epitaxial layer to fill up the first trench.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: January 21, 2020
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Yong Li
  • Patent number: 10535685
    Abstract: Thin-film electronic devices such as LED devices and field effect transistor devices are fabricated using a non-destructive epitaxial lift-off technique that allows indefinite reuse of a growth substrate. The method includes providing an epitaxial protective layer on the growth substrate and a sacrificial release layer between the protective layer and an active device layer. After the device layer is released from the growth substrate, the protective layer is selectively etched to provide a newly exposed surface suitable for epitaxial growth of another device layer. The entire thickness of the growth substrate is preserved, enabling continued reuse. Inorganic thin-film device layers can be transferred to a flexible secondary substrate, enabling formation of curved inorganic optoelectronic devices.
    Type: Grant
    Filed: December 2, 2014
    Date of Patent: January 14, 2020
    Assignee: THE REGENTS OF THE UNIVERSITY OF MICHIGAN
    Inventors: Stephen R. Forrest, Kyusang Lee
  • Patent number: 10522537
    Abstract: An integrated circuit device includes a substrate including a device active region, a fin-type active region protruding from the substrate on the device active region, a gate line crossing the fin-type active region and overlapping a surface and opposite sidewalls of the fin-type active region, an insulating spacer disposed on sidewalls of the gate line, a source region and a drain region disposed on the fin-type active region at opposite sides of the gate line, a first conductive plug connected the source or drain regions, and a capping layer disposed on the gate line and extending parallel to the gate line. The capping layer includes a first part overlapping the gate line, and a second part overlapping the insulating spacer. The first and second parts have different compositions with respect to each other. The second part contacts the first part and the first conductive plug.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: December 31, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Changhwa Kim, Kyungin Choi, Hwichan Jun, Inchan Hwang
  • Patent number: 10522345
    Abstract: A method includes receiving a semiconductor substrate including a first semiconductor material; etching a portion of the semiconductor substrate, thereby forming a recess, a bottom portion of the recess having a first sidewall and a second sidewall intersecting with each other, one of the first and second sidewalls exposing a (111) crystallographic plane of the semiconductor substrate; and epitaxially growing a second semiconductor material in the recess, the second semiconductor material having lattice mismatch to the first semiconductor material, dislocations in the second semiconductor material due to the lattice mismatch propagating from the first sidewall to the second sidewall in a direction parallel to a top surface of the semiconductor substrate.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Hsien Wu, I-Sheng Chen
  • Patent number: 10504887
    Abstract: The present disclosure provides a method for forming an electrostatic discharge (ESD) protection device, including: providing a substrate including an input region; forming a plurality of fins on the substrate in the input region; forming a well region, doped with first-type ions, in the plurality of fins and in the substrate; and forming an epitaxial layer on each fin in the input region. The method further includes: forming a drain region, doped with second-type ions, in a top portion of each fin and in the epitaxial layer; forming an extended drain region, doped with the second-type ions, in a bottom portion of each fin to connect to the drain region and in a portion of the substrate, in the input region; and forming a counter-doped region, doped with the first-type ions, in a portion of the substrate between two adjacent fins to insulate adjacent extended drain regions.
    Type: Grant
    Filed: September 23, 2016
    Date of Patent: December 10, 2019
    Assignees: Semiconductor Manufacturing International (Beijing) Corporation, Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Yong Li
  • Patent number: 10497797
    Abstract: A semiconductor structure including a semiconductor substrate and at least a fin structure formed thereon. The semiconductor substrate includes a first semiconductor material. The fin structure includes a first epitaxial layer and a second epitaxial layer formed between the first epitaxial layer and the semiconductor substrate. The first epitaxial layer includes the first semiconductor material and a second semiconductor material. A lattice constant of the second semiconductor material is different from a lattice constant of the first semiconductor material. The second epitaxial layer includes the first semiconductor material and the second semiconductor material. The second epitaxial layer further includes conductive dopants.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: December 3, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shih-Hsien Huang, Chien-Hung Chen, Chun-Yuan Wu, Kun-Hsin Chen, Tien-I Wu, Yu-Ru Yang, Huai-Tzu Chiang
  • Patent number: 10490652
    Abstract: The present disclosure relates to the field of semiconductor technologies, and discloses semiconductor devices and manufacturing methods for the same. A semiconductor device may include: a substrate; a first active region on the substrate; a first gate structure positioned on the first active region; and a first source and a first drain that are positioned in the first active region and respectively on two sides of the first gate structure, where a size of the first drain is larger than a size of the first source. In forms of the present disclosure, because the size of the first drain is larger than the size of the first source, a current from the first drain to the first source is greater than a current from the first source to the first drain, so that the semiconductor device can make a read current relatively low and a write current relatively high in a static random access memory (SRAM), thereby improving a read margin and a write margin.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: November 26, 2019
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Zhenghao Gan, Junhong Feng
  • Patent number: 10483353
    Abstract: Techniques are disclosed for forming transistor structures including tensile-strained germanium (Ge) channel material. The transistor structures may be used for either or both of n-type and p-type transistor devices, as tensile-strained Ge has very high carrier mobility properties suitable for both types. Thus, a simplified CMOS integration scheme may be achieved by forming n-MOS and p-MOS devices included in the CMOS device using the techniques described herein. In some cases, the tensile-strained Ge may be achieved by epitaxially growing the Ge material on a group III-V material having a lattice constant that is higher than that of Ge and/or by applying a macroscopic 3-point bending to the die on which the transistor is formed. The techniques may be used to form transistors having planar or non-planar configurations, such as finned configurations (e.g., finFET or tri-gate) or gate-all-around (GAA) configurations (including at least one nanowire).
    Type: Grant
    Filed: December 24, 2015
    Date of Patent: November 19, 2019
    Assignee: INTEL CORPORATION
    Inventors: Chandra S. Mohapatra, Glenn A. Glass, Anand S. Murthy, Karthik Jambunathan, Willy Rachmady, Gilbert Dewey, Tahir Ghani, Jack T. Kavalieros
  • Patent number: 10483263
    Abstract: The present disclosure provides a semiconductor device and a manufacturing method therefor.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: November 19, 2019
    Assignees: Semiconductor Manufacturing International (Shanghai) Corp., Semiconductor Manfacturing International (Beijing) Corp.
    Inventor: Fei Zhou
  • Patent number: 10475744
    Abstract: A semiconductor device and a method of fabricating the same are provided. The semiconductor device includes a substrate, an isolation structure, an outer structure, and a gate structure. The isolation structure is disposed on the substrate. The outer structure surrounds a sidewall of the isolation structure. The gate structure surrounds a central part of the outer structure, so that the central part covered by the gate structure becomes a channel region, and the outer structure at both sides of the central part respectively becomes a source region and a drain region.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: November 12, 2019
    Assignee: United Microelectronics Corp.
    Inventors: Kuan-Hung Chen, Rung-Yuan Lee, Chun-Tsen Lu
  • Patent number: 10461216
    Abstract: Gallium nitride based devices and, more particularly to the generation of holes in gallium nitride based devices lacking p-type doping, and their use in light emitting diodes and lasers, both edge emitting and vertical emitting. By tailoring the intrinsic design, a wide range of wavelengths can be emitted from near-infrared to mid ultraviolet, depending upon the design of the adjacent cross-gap recombination zone. The innovation also provides for novel circuits and unique applications, particularly for water sterilization.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: October 29, 2019
    Assignee: Wright State University
    Inventors: Elliott R. Brown, Weidong Zhang, Tyler Growden, Paul R. Berger, David Storm, David Meyer
  • Patent number: 10461184
    Abstract: A semiconductor device includes a semiconductor substrate having a first source or drain (S/D) region and a channel. The channel includes a first semiconductor material having a first band gap, and extends vertically from a lower channel portion formed on the first S/D region to an upper channel portion located opposite the lower channel portion. A gate structure is around sidewalls of the channel, and a second S/D region is on the upper channel portion. A band-gap enhancing region is interposed between the second S/D region and the channel. The band-gap enhancing region includes a second semiconductor material having a second band gap that is greater than the first band gap to reduce a gate-induced-drain leakage (GIDL) between the second S/D region and the channel.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: October 29, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Choonghyun Lee
  • Patent number: 10439066
    Abstract: A semiconductor structure is provided. The semiconductor structure includes a substrate, a plurality of first gate structures, a plurality of second gate structures, a first strained region, and a second strained region. The substrate has a first region and a second region. The first gate structures are disposed in the first region on the substrate. The second gate structures are disposed in the second region on the substrate. The first strained region is formed in the substrate and has a first distance from an adjacent first gate structure. The second strained region is formed in the substrate and has a second distance from an adjacent second gate structure, wherein the second distance is greater than the first distance.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: October 8, 2019
    Assignee: United Miccroelectronics Corp.
    Inventors: Ling-Chun Chou, Kun-Hsien Lee
  • Patent number: 10431729
    Abstract: According to various implementations of the invention, a vertical Josephson Junction device may be realized using molecular beam epitaxy (MBE) growth of YBCO and PBCO epitaxial layers in an a-axis crystal orientation. Various implementations of the invention provide improved vertical JJ devices using SiC or LSGO substrates; GaN, AlN, or MgO buffer layers; YBCO or LSGO template layers; YBCO conductive layers and various combinations of barrier layers that include PBCO, NBCO, and DBCO. Such JJ devices are simple to fabricate with wet and dry etching, and allow for superior current flow across the barrier layers.
    Type: Grant
    Filed: July 8, 2017
    Date of Patent: October 1, 2019
    Assignee: Ambature, Inc.
    Inventors: Michael S. Lebby, Davis H. Hartmann
  • Patent number: 10424657
    Abstract: A tri-gate FinFET device includes a fin that is positioned vertically above and spaced apart from an upper surface of a semiconductor substrate, wherein the fin has an upper surface, a lower surface opposite of the upper surface, a first side surface, and a second side surface opposite of the first side surface. The axis of the fin in a height direction of the fin is oriented substantially parallel to the upper surface of the semiconductor substrate, and the first side surface of the fin contacts an insulating material. A gate structure is positioned around the upper surface, the second side surface, and the lower surface of the fin, and a gate contact structure is conductively coupled to the gate structure.
    Type: Grant
    Filed: February 9, 2017
    Date of Patent: September 24, 2019
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Andreas Knorr
  • Patent number: 10418240
    Abstract: A nitride semiconductor structure includes a substrate, a nitride semiconductor layer, and a buffer stack layer between the substrate and the nitride semiconductor layer. The buffer stack layer includes a plurality of metal nitride multilayers repeatedly stacked, wherein each of the metal nitride multilayers consists of a first, a second, and a third metal nitride thin films in sequence, or consists of the first, the third, the second, and the third metal nitride thin films in sequence. The aluminum concentration of the first metal nitride thin film is higher than that of the third metal nitride thin film, and the aluminum concentration of the third metal nitride thin film is higher than that of the second metal nitride thin film.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: September 17, 2019
    Assignee: ELITE ADVANCED LASER CORPORATION
    Inventors: Kun-Chuan Lin, Jin-Hsiang Liu, Yu-Lin Hsiao
  • Patent number: 10411125
    Abstract: A semiconductor device includes a semiconductor structure including a first doped layer for forming a carrier channel having a carrier charge, a second doped layer having a conductivity type identical to a conductivity type of the first doped layer, a barrier layer arranged in proximity to the semiconductor structure via the second doped layer, wherein the barrier layer includes a partially doped layer having a conductivity type opposite to the conductivity type of the second doped layer, and a set of electrodes for providing and controlling the carrier charge in the carrier channel.
    Type: Grant
    Filed: November 23, 2016
    Date of Patent: September 10, 2019
    Assignee: Mitsubishi Electric Research Laboratories, Inc.
    Inventors: Koon Hoo Teo, Chenjie Tang
  • Patent number: 10410859
    Abstract: An epitaxial substrate for semiconductor elements which suppresses the occurrence of current collapse. The epitaxial substrate for the semiconductor elements includes: a semi-insulating free-standing substrate formed of GaN doped with Zn; a buffer layer adjacent to the free-standing substrate; a channel layer adjacent to the buffer layer; and a barrier layer provided on an opposite side of the buffer layer with the channel layer provided therebetween, wherein the buffer layer is a diffusion suppressing layer that suppresses the diffusion of Zn from the free-standing substrate into the channel layer.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: September 10, 2019
    Assignee: NGK INSULATORS, LTD.
    Inventors: Mikiya Ichimura, Sota Maehara, Yoshitaka Kuraoka
  • Patent number: 10411112
    Abstract: A semiconductor device having an n channel MISFET formed on an SOI substrate including a support substrate, an insulating layer formed on the support substrate and a silicon layer formed on the insulating layer has the following structure. An impurity region for threshold adjustment is provided in the support substrate of a gate electrode so that the silicon layer contains carbon. The threshold value can be adjusted by the semiconductor region for threshold adjustment in this manner. Further, by providing the silicon layer containing carbon, even when the impurity of the semiconductor region for threshold adjustment is diffused to the silicon layer across the insulating layer, the impurity is inactivated by the carbon implanted into the silicon layer. As a result, the fluctuation of the transistor characteristics, for example, the fluctuation of the threshold voltage of the MISFET can be reduced.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: September 10, 2019
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Takaaki Tsunomura, Toshiaki Iwamatsu
  • Patent number: 10396153
    Abstract: A high-electron-mobility transistor has a buffer layer, a channel layer, a barrier layer, a mesa-shaped cap layer, a source electrode formed on one side of the cap layer, a drain electrode formed on the other side, and a gate electrode formed over the cap layer via a gate insulating film. The semiconductor device has an element isolation region defining an active region in which the semiconductor device is provided. The gate electrode extends from over the active region to the over the element isolation region. In plan view, the active region has a projection part projected to the direction of the element isolation region in a region overlapped with the gate electrode. By providing the active region with a projection part, the channel length of a parasitic transistor can be increased, and turn-on of the parasitic transistor can be suppressed.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: August 27, 2019
    Assignee: Renesas Electronics Corporation
    Inventor: Takehiro Ueda
  • Patent number: 10396203
    Abstract: Transistor fin elements (e.g., fin or tri gate) may be modified by radio frequency (RF) plasma and/or thermal processing for purpose of dimensional sculpting. The etched, thinned fins may be formed by first forming wider single crystal fins, and after depositing trench oxide material between the wider fins, etching the wider fins using a second etch to form narrower single crystal fins having undamaged top and sidewalls for epitaxially growing active channel material. The second etch may remove a thickness of between a 1 nm and 15 nm of the top surfaces and the sidewalls of the wider fins. It may remove the thickness using (1) chlorine or fluorine based chemistry using low ion energy plasma processing, or (2) low temperature thermal processing that does not damage fins via energetic ion bombardment, oxidation or by leaving behind etch residue that could disrupt the epitaxial growth quality of the second material.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: August 27, 2019
    Assignee: Intel Corporation
    Inventors: Glenn A. Glass, Anand S. Murthy, Daniel B. Aubertine, Subhash M. Joshi
  • Patent number: 10388509
    Abstract: A process for forming a thick defect-free epitaxial layer is disclosed. The process may comprise forming a buffer layer and a sacrificial layer prior to forming the thick defect-free epitaxial layer. The sacrificial layer and the thick defect-free epitaxial layer may be formed of the same material and at the same process conditions.
    Type: Grant
    Filed: June 19, 2017
    Date of Patent: August 20, 2019
    Assignee: ASM IP HOLDING B.V.
    Inventors: Joe Margetis, John Tolle
  • Patent number: 10388751
    Abstract: The present application discloses a semiconductor device and a method for forming an n-type conductive channel in a diamond using a heterojunction, which pertain to the technical field of fabrication of semiconductor devices. The method comprises: forming a diamond layer on a substrate; and depositing a ternary compound having a donor characteristic and graded components on an upper surface of the diamond layer to form a first donor layer, forming a graded heterojunction at an interface between the diamond layer and the first donor layer, forming two-dimensional electron gas at one side of the diamond layer adjacent to the graded heterojunction, and using the two-dimensional electron gas as the n-type conductive channel. The method enables a concentration and a mobility of carriers in the n-type diamond channel to reach 1013 cm?2 and 2000 cm2/V·s respectively.
    Type: Grant
    Filed: October 27, 2017
    Date of Patent: August 20, 2019
    Assignee: The 13áµ—Ê° Research Institute Of China Electronics Technology Group Corporation
    Inventors: Jingjing Wang, Zhihong Feng, Cui Yu, Chuangjie Zhou, Qingbin Liu, Zezhao He
  • Patent number: 10380494
    Abstract: A technique relates to a superconducting qubit. A Josephson junction includes a first superconductor and a second superconductor formed on a non-superconducting metal. A capacitor is coupled in parallel with the Josephson junction.
    Type: Grant
    Filed: August 4, 2017
    Date of Patent: August 13, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David W. Abraham, Josephine B. Chang, Jay M. Gambetta
  • Patent number: 10366884
    Abstract: A method for obtaining a semiconductor island includes epitaxially growing a semiconductor structure over a substrate with a mask layer defining a region not covered by the mask layer. The semiconductor structure includes a first portion located adjacent to the mask layer and a second portion located away from the mask layer. The first portion has a first height that is less than a second height of a portion of the mask layer located adjacent to the first portion. The second portion has a third height that is equal to, or greater than, the second height. The method also includes forming a filling layer over at least the first portion; and, subsequently removing at least a portion of the semiconductor structure that is located above the second height. Devices made by this method are also disclosed.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: July 30, 2019
    Assignee: STRATIO
    Inventors: Jaehyung Lee, Yeul Na, Youngsik Kim
  • Patent number: 10366892
    Abstract: Techniques for forming dual III-V semiconductor channel materials to enable fabrication of different device types on the same chip/wafer are provided. In one aspect, a method of forming dual III-V semiconductor channel materials on a wafer includes the steps of: providing a wafer having a first III-V semiconductor layer on an oxide; forming a second III-V semiconductor layer on top of the first III-V semiconductor layer, wherein the second III-V semiconductor layer comprises a different material with an electron affinity that is less than an electron affinity of the first III-V semiconductor layer; converting the first III-V semiconductor layer in at least one second active area to an insulator using ion implantation; and removing the second III-V semiconductor layer from at least one first active area selective to the first III-V semiconductor layer.
    Type: Grant
    Filed: February 19, 2018
    Date of Patent: July 30, 2019
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Isaac Lauer, Amlan Majumdar, Jeffrey W. Sleight
  • Patent number: 10361159
    Abstract: A semiconductor device includes a substrate having a plurality of fins protruding therefrom and an active region on the fins. The device further includes a contact including a conductive region having a concave portion defining an upper portion and a lower portion of the conductive region, an interlayer insulating layer on the active region, and a side insulating layer interposed between the interlayer insulating layer and the lower portion of the conductive region.
    Type: Grant
    Filed: November 22, 2017
    Date of Patent: July 23, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong Ho You, Sang Young Kim, Byung Chan Ryu
  • Patent number: 10354998
    Abstract: Devices are described herein that include a first fin structure formed on a substrate. A second fin structure formed on the substrate. One or more gate structures are formed on the first fin structure and the second fin structure. A first in-fin source/drain region is associated with a first volume and is disposed between the first fin structure and the second fin structure. A fin-end source/drain region is associated with a second volume larger than the first volume, the first fin structure being disposed between the first in-fin source/drain region and the fin-end source/drain region. The gate structures, the first in-fin source/drain region, and the fin-end source/drain region are configured to form one or more transistors.
    Type: Grant
    Filed: August 10, 2017
    Date of Patent: July 16, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yu-Lien Huang, Chi-Kang Liu, Yung-Ta Li, Chun-Hsiang Fan, Tung-Ying Lee, Clement Hsing-Jen Wann
  • Patent number: 10340338
    Abstract: A semiconductor device according to one embodiment includes a semiconductor substrate having a first surface, an insulating isolation structure having a first depth, and a gate electrode. The semiconductor substrate has source and drain regions, a reverse conductivity region having a second depth, a body region, and a drift region. The source region, the drift region, and the drain region are of a first conductivity type, and the body region and the reverse conductivity region are of a second conductivity type which is opposite to the first conductivity type. The insulating isolation structure is disposed between the drain region and the reverse conductivity region. The first depth is larger than the second depth.
    Type: Grant
    Filed: November 11, 2017
    Date of Patent: July 2, 2019
    Assignee: Renesas Electronics Corporation
    Inventor: Takahiro Mori
  • Patent number: 10340416
    Abstract: To fabricate a practically useful non-polar AlN buffer layer on a sapphire crystal plate and manufacture a UV light-emitting device on a non-polar crystal substrate by adopting the crystal substrate as an example, an embodiment of the present invention provides a crystal substrate 1D comprising an r-plane sapphire crystal plate 10 and an AlN buffer layer 20D of non-polar orientation. The AlN buffer layer comprises a surface protection layer 22 and a smoothing layer 26. The surface protection layer suppresses roughness increase on a surface of the AlN buffer layer, and the smoothing layer makes the surface of the AlN buffer layer a smoothed surface. Also provided is a crystal substrate 11 comprising an AlN buffer layer 20T to which a dislocation blocking layer 24 for reducing crystallographic defects is added between the surface protection layer 22 and the smoothing layer 26. In another embodiment a deep UV light-emitting device is provided.
    Type: Grant
    Filed: February 24, 2017
    Date of Patent: July 2, 2019
    Assignee: RIKEN
    Inventors: Masafumi Jo, Hideki Hirayama
  • Patent number: 10340345
    Abstract: A nitride semiconductor epitaxial wafer includes a substrate, a GaN layer provided over the substrate, and an AlGaN layer provided over the GaN layer. The GaN layer has a wurtzite crystal structure, and a ratio c/a of a lattice constant c in a c-axis orientation of the GaN layer to a lattice constant a in an a-axis orientation of the GaN layer is not more than 1.6266.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: July 2, 2019
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Takeshi Tanaka, Naoki Kaneda, Yoshinobu Narita
  • Patent number: 10332980
    Abstract: A method for manufacturing a semiconductor device includes providing a substrate structure including a semiconductor fin on a substrate, and a trench isolation structure surrounding the fin and having an upper surface flush with an upper surface of the fin and including first and second trench isolation portions on opposite sides of the fin along the fin longitudinal direction, and third and fourth trench isolation portions on distal ends of the fin along a second direction intersecting the longitudinal direction; forming a patterned first hardmask layer having an opening exposing an upper surface of the third and fourth trench isolation portions; and forming a first insulator layer filling the opening to form an insulating portion including a portion of the first insulator layer in the opening and a portion of the trench isolation structure below the portion of the first insulator layer in the opening.
    Type: Grant
    Filed: June 2, 2017
    Date of Patent: June 25, 2019
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: Zhaoxu Shen
  • Patent number: 10326034
    Abstract: A semiconductor layer includes a first semiconductor layer containing a III-V group compound semiconductor and having a first conductivity type, a quantum-well structure containing a III-V group compound semiconductor, a second semiconductor layer containing a III-V group compound semiconductor, a third semiconductor layer containing a III-V group compound semiconductor, and a fourth semiconductor layer containing a III-V group compound semiconductor and having a second conductivity type different from the first conductivity type. The first semiconductor layer, the quantum-well structure, the second semiconductor layer, the third semiconductor layer, and the fourth semiconductor layer are stacked in this order. The concentration of an impurity that generates carriers of the second conductivity type is lower in the third semiconductor layer than in the fourth semiconductor layer.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: June 18, 2019
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Suguru Arikata, Takuma Fuyuki, Susumu Yoshimoto, Takashi Kyono, Katsushi Akita
  • Patent number: 10319643
    Abstract: Provided is a method for forming a semiconductor structure. In embodiments of the invention, the method includes depositing a strain relaxed buffer (SRB) layer over a substrate; recessing the SRB layer on a first region of the structure; and forming a first semiconductor layer on the first region of the structure and depositing one or more mandrels over the first semiconductor layer of the first region of the structure. The method further includes depositing a spacer layer over the one or more mandrels, the spacer layer including vertical portions and horizontal portions; and removing the one or more mandrels and the horizontal portions of the spacer layer. The method further includes performing a reactive ion etch to remove material unprotected by the spacer to form a first channel for a p-type vertical field effect transistor from the first semiconductor layer. The first channel has a compressive strain.
    Type: Grant
    Filed: February 7, 2018
    Date of Patent: June 11, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Choonghyun Lee, Kangguo Cheng, Juntao Li, Shogo Mochizuki
  • Patent number: 10319857
    Abstract: A semiconductor device includes a substrate, a liner, and an epitaxy structure. The substrate has a recess. The liner is disposed in the recess. The liner is denser than the substrate. The epitaxy structure is disposed in the recess. The liner is disposed between the epitaxy structure and the substrate.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: June 11, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Fen Chen, Chui-Ya Peng, Ching Yu, Pin-Hen Lin, Yen Chuang, Yuh-Ta Fan
  • Patent number: 10312665
    Abstract: An optical semiconductor device includes an InP substrate; an active layer disposed above the InP substrate; a n-type semiconductor layer disposed below the active layer; and a p-type clad layer disposed above the active layer, wherein the p-type clad layer includes one or more p-type In1-xAlxP layers, the Al composition x of each of the one or more p-type In1-xAlxP layers is equal to or greater than a value corresponding to the doping concentration of a p-type dopant, and the absolute value of the average strain amount of the whole of the p-type clad layer is equal to or less than the absolute value of a critical strain amount obtained by Matthews' relational expression, using the entire layer thickness of the whole of the p-type clad layer as a critical layer thickness.
    Type: Grant
    Filed: June 4, 2018
    Date of Patent: June 4, 2019
    Assignee: Oclaro Japan, Inc.
    Inventors: Takeshi Kitatani, Kaoru Okamoto, Kouji Nakahara
  • Patent number: 10297712
    Abstract: A micro light emitting diode (LED) and a method of forming an array of micro LEDs for transfer to a receiving substrate are described. The micro LED structure may include a micro p-n diode and a metallization layer, with the metallization layer between the micro p-n diode and a bonding layer. A conformal dielectric barrier layer may span sidewalls of the micro p-n diode. The micro LED structure and micro LED array may be picked up and transferred to a receiving substrate.
    Type: Grant
    Filed: June 23, 2014
    Date of Patent: May 21, 2019
    Assignee: Apple Inc.
    Inventors: Andreas Bibl, John A. Higginson, Hung-Fai Stephen Law, Hsin-Hua Hu
  • Patent number: 10290709
    Abstract: Transistor devices having indium gallium arsenide active channels, and processes for the fabrication of the same, that enables improved carrier mobility when fabricating fin shaped active channels, such as those used in tri-gate or gate all around (GAA) devices. In one embodiment, an indium gallium arsenide material may be deposited in narrow trenches which may result in a fin that has indium rich surfaces and a gallium rich central portion. These indium rich surfaces will abut a gate oxide of a transistor and may result in high electron mobility and an improved switching speed relative to conventional homogeneous composition indium gallium arsenide active channels.
    Type: Grant
    Filed: September 19, 2014
    Date of Patent: May 14, 2019
    Assignee: Intel Corporation
    Inventors: Glenn A. Glass, Anand S. Murthy, Chandra S. Mohapatra, Tahir Ghani, Willy Rachmady, Gilbert Dewey, Matthew V. Metz, Jack T. Kavalieros
  • Patent number: 10290614
    Abstract: System on Chip (SoC) solutions integrating an RFIC with a PMIC using a transistor technology based on group III-nitrides (III-N) that is capable of achieving high Ft and also sufficiently high breakdown voltage (BV) to implement high voltage and/or high power circuits. In embodiments, the III-N transistor architecture is amenable to scaling to sustain a trajectory of performance improvements over many successive device generations. In embodiments, the III-N transistor architecture is amenable to monolithic integration with group IV transistor architectures, such as planar and non-planar silicon CMOS transistor technologies. Planar and non-planar HEMT embodiments having one or more of recessed gates, symmetrical source and drain, regrown source/drains are formed with a replacement gate technique permitting enhancement mode operation and good gate passivation.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: May 14, 2019
    Assignee: Intel Corporation
    Inventors: Han Wui Then, Robert Chau, Valluri Rao, Niloy Mukherjee, Marko Radosavljevic, Ravi Pillarisetty, Gilbert Dewey, Jack Kavalieros
  • Patent number: 10283636
    Abstract: A transistor in an integrated circuit device is formed using fabrication processes that include techniques to create a strain in the channel material, thereby improving the performance of the transistor. In one or more embodiments, an initial transistor structure is formed including a substrate, a dummy fin, and a hard mask. The dummy fin structure is narrowed. A channel is epitaxially grown on the dummy fin structure to create a strain on the channel. A first gate stack is formed over the channel. The hard mask and dummy fin are removed. A second gate stack is formed over the channel. Excess material is removed from the second gate stack. The formation of the transistor is finalized using a variety of techniques.
    Type: Grant
    Filed: March 31, 2017
    Date of Patent: May 7, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shogo Mochizuki, Junli Wang
  • Patent number: 10276699
    Abstract: Energy-filtered cold electron devices use electron energy filtering through discrete energy levels of quantum wells or quantum dots that are formed through band bending of tunneling barrier conduction band. These devices can obtain low effective electron temperatures of less than or equal to 45K at room temperature, steep electrical current turn-on/turn-off capabilities with a steepness of less than or equal to 10 mV/decade at room temperature, subthreshold swings of less than or equal to 10 mV/decade at room temperature, and/or supply voltages of less than or equal to 0.1 V.
    Type: Grant
    Filed: June 27, 2018
    Date of Patent: April 30, 2019
    Assignee: Board of Regents, The University of Texas System
    Inventors: Seong Jin Koh, Pradeep Bhadrachalam, Liang-Chieh Ma
  • Patent number: 10269971
    Abstract: Semiconductor devices and fin field effect transistors (FinFETs) are disclosed. In some embodiments, a representative semiconductor device includes a group III material over a substrate, the group III material comprising a thickness of about 2 monolayers or less, and a group III-V material over the group III material.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: April 23, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Martin Christopher Holland
  • Patent number: 10269933
    Abstract: A method includes forming a gate stack over top surfaces of a semiconductor strip and insulation regions on opposite sides of the semiconductor strip. The insulation regions include first portions overlapped by the gate stack, and second portions misaligned from the gate stack. An end portion of the semiconductor strip is etched to form a recess, wherein the recess is located between the second portions of the insulation regions. An epitaxy is performed to grow a source/drain region from the recess. After the epitaxy, a recessing is performed to recess the second portions of the insulation regions, with the second portions of the insulation regions having first top surfaces after the first recessing. After the recessing, a dielectric mask layer is formed on the first top surfaces of the second portions of the insulation regions, wherein the dielectric mask layer further extends on a sidewall of the gate stack.
    Type: Grant
    Filed: September 1, 2016
    Date of Patent: April 23, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Guan-Lin Chen
  • Patent number: 10256239
    Abstract: A method of forming a semiconductor structure includes depositing a spacer material over a top surface of a substrate and two or more spaced-apart gates formed on the top surface of the substrate. The method also includes depositing a sacrificial liner over the spacer material and etching the sacrificial liner and the spacer material to expose portions of the top surface of the substrate between the two or more spaced-apart gates. The method further includes removing the sacrificial liner such that remaining spacer material forms two or more spacers between the two or more spaced-apart gates, each of the spacers including a first portion proximate the top surface of the substrate having a first width and a second portion above the first portion with a second width smaller than the first width.
    Type: Grant
    Filed: October 18, 2016
    Date of Patent: April 9, 2019
    Assignee: International Business Machines Corporation
    Inventors: Balasubramanian Pranatharthiharan, Eric R. Miller, Soon-Cheon Seo, John R. Sporre
  • Patent number: 10256157
    Abstract: A device and method for manufacturing a Si-based high-mobility CMOS device is provided.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: April 9, 2019
    Assignee: IMEC VZW
    Inventors: Clement Merckling, Guillaume Boccardi
  • Patent number: 10256301
    Abstract: A semiconductor device includes a plurality of stacked structures spaced apart from each other on a substrate, wherein the plurality of stacked structures each comprise a plurality of gate layers and a plurality of channel layers, a plurality of arsenic implanted regions on portions of a surface of the substrate adjacent the plurality of stacked structures, and a plurality of epitaxial source/drain regions extending from the plurality of stacked structures, wherein the plurality of epitaxial source/drain regions are spaced apart from the plurality of arsenic implanted regions.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: April 9, 2019
    Assignee: International Business Machines Corporation
    Inventor: Alexander Reznicek
  • Patent number: 10249736
    Abstract: A method of forming the fin structure that includes forming a replacement gate structure on a channel region of the at least one replacement fin structure; and forming an encapsulating dielectric encapsulating the replacement fin structure leaving a portion of the replacement gate structure exposed. The exposed portion of the replacement gate structure is etched to provide an opening through the encapsulating dielectric to the replacement fin structure. The replacement fin structure is etched selectively to the dielectric to provide a fin opening having a geometry dictated by the encapsulating dielectric. Functional fin structures of a second semiconductor material is epitaxially grown on the growth surface of the substrate substantially filling the fin opening.
    Type: Grant
    Filed: June 15, 2016
    Date of Patent: April 2, 2019
    Assignee: International Business Machines Corporation
    Inventors: Effendi Leobandung, Chun-chen Yeh
  • Patent number: 10249490
    Abstract: A single fin or a pair of co-integrated n- and p-type single crystal electronic device fins are epitaxially grown from a substrate surface at a bottom of one or a pair of trenches formed between shallow trench isolation (STI) regions. The fin or fins are patterned and the STI regions are etched to form a height of the fin or fins extending above etched top surfaces of the STI regions. The fin heights may be at least 1.5 times their width. The exposed sidewall surfaces and a top surface of each fin is epitaxially clad with one or more conformal epitaxial materials to form device layers on the fin. Prior to growing the fins, a blanket buffer epitaxial material may be grown from the substrate surface; and the fins grown in STI trenches formed above the blanket layer. Such formation of fins reduces defects from material interface lattice mismatches.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: April 2, 2019
    Assignee: Intel Corporation
    Inventors: Niti Goel, Robert S. Chau, Jack T. Kavalieros, Benjamin Chu-Kung, Matthew V. Metz, Niloy Mukherjee, Nancy M. Zelick, Gilbert Dewey, Willy Rachmady, Marko Radosavljevic, Van H. Le, Ravi Pillarisetty, Sansaptak Dasgupta
  • Patent number: 10243102
    Abstract: Devices, systems, and methods for providing wireless personal area networks (PANs) and local area networks (LANs) using visible and near-visible optical spectrum. Various constructions and material selections are provided herein. According to one embodiment, a light-emitting diode (LED) includes a substrate, a carrier confinement (CC) region positioned over the substrate, and an active region positioned over the CC region. The CC region includes a first CC layer comprising indium gallium phosphide and a second CC layer positioned over the first CC layer. The second CC layer includes gallium arsenide phosphide. The active region is configured to have a transient response time of less than 500 picoseconds (ps).
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: March 26, 2019
    Assignee: LUMEOVA, INC.
    Inventors: Mohammad Ali Khatibzadeh, Arunesh Goswami