With Lattice Constant Mismatch (e.g., With Buffer Layer To Accommodate Mismatch) Patents (Class 257/190)
  • Patent number: 10229997
    Abstract: Techniques are disclosed for forming high mobility NMOS fin-based transistors having an indium-rich channel region electrically isolated from the sub-fin by an aluminum-containing layer. The aluminum aluminum-containing layer may be provisioned within an indium-containing layer that includes the indium-rich channel region, or may be provisioned between the indium-containing layer and the sub-fin. The indium concentration of the indium-containing layer may be graded from an indium-poor concentration near the aluminum-containing barrier layer to an indium-rich concentration at the indium-rich channel layer. The indium-rich channel layer is at or otherwise proximate to the top of the fin, according to some example embodiments. The grading can be intentional and/or due to the effect of reorganization of atoms at the interface of indium-rich channel layer and the aluminum-containing barrier layer. Numerous variations and embodiments will be appreciated in light of this disclosure.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: March 12, 2019
    Assignee: INTEL CORPORATION
    Inventors: Chandra S. Mohapatra, Anand S. Murthy, Glenn A. Glass, Tahir Ghani, Willy Rachmady, Jack T. Kavalieros, Gilbert Dewey, Matthew V. Metz, Harold W. Kennel
  • Patent number: 10211203
    Abstract: A method for fabrication a field-effect-transistor includes forming a plurality of fin structures on a substrate, forming a gate structure across each fin structure and covering a portion of top and sidewall surfaces of the fin structure, forming a first doped layer, made of a first semiconductor material and doped with first doping ions, in each fin structure on one side of the corresponding gate structure, and forming a second doped layer, made of a second semiconductor material, doped with second doping ions, and having doping properties different from the first doped layer, in each fin structure on another side of the corresponding gate structure.
    Type: Grant
    Filed: April 3, 2017
    Date of Patent: February 19, 2019
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: Xi Lin, Yi Hua Shen, Jian Pan
  • Patent number: 10205021
    Abstract: Method of fabrication of a semiconductor substrate including fabrication of a semiconducting layer such that a first part of the semiconducting layer comprises a compressively strained semiconductor and such that a second part of the semiconducting layer comprises a material different from the compressively strained semiconductor. The second part of the semiconducting layer is located in a principal plane of the semiconducting layer in contact with at least two opposite edges of the first part of the semiconducting layer. The method further includes etching of a trench through the semiconducting layer, delimiting the first part of the semiconducting layer and portions of the second part of the semiconducting layer located in contact with the opposite edges of the first part of the semiconducting layer, relative to the remaining part of the semiconducting layer.
    Type: Grant
    Filed: December 22, 2017
    Date of Patent: February 12, 2019
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGEES ALTERNATIVES
    Inventor: Shay Reboh
  • Patent number: 10192968
    Abstract: Provided are a semiconductor device and a method for fabricating the same. The semiconductor device includes an active fin protruding upwardly from a substrate and extending in a first direction and a gate structure extending in a second direction intersecting to cross the active fin, where a first width of a lower portion of the gate structure that contacts the active fin is greater than a second width of the lower portion of the gate structure that is spaced apart from the active fin.
    Type: Grant
    Filed: January 6, 2016
    Date of Patent: January 29, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Gun You, Myung-Yoon Um, Young-Joon Park, Jeong-Hyo Lee, Ji-Yong Ha, Jun-Sun Hwang
  • Patent number: 10177150
    Abstract: A method of fabricating a semiconductor device includes preparing a substrate including a first region and a second region, sequentially forming a first semiconductor layer and a second semiconductor layer on the first and second regions, patterning the first and second semiconductor layers to form a lower semiconductor pattern and an upper semiconductor pattern on each of the first and second regions, selectively removing the lower semiconductor pattern on the second region to form a gap region, and forming gate electrodes at the first and second regions, respectively.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: January 8, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Junggil Yang, Sangsu Kim, TaeYong Kwon, Sung Gi Hur
  • Patent number: 10177235
    Abstract: A method of forming two or more nano-sheet devices with varying electrical gate lengths, including, forming at least two cut-stacks including a plurality of sacrificial release layers and at least one alternating nano-sheet channel layer on a substrate, removing a portion of the plurality of sacrificial release layers to form indentations having an indentation depth in the plurality of sacrificial release layers, and removing a portion of the at least one alternating nano-sheet channel layer to form a recess having a recess depth in the at least one alternating nano-sheet channel layers, where the recess depth is greater than the indentation depth.
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: January 8, 2019
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 10163677
    Abstract: Semiconductor structures and fabrication methods are provided which includes, for instance, fabricating a semiconductor fin structure by: providing a fin structure extending above a substrate, the fin structure including a first fin portion, a second fin portion disposed over the first fin portion, and an interface between the first and the second fin portions, where the first fin portion and the second fin portion are lattice mismatched within the fin structure; and modifying, in part, the fin structure to obtain a modified fin structure, the modifying including selectively oxidizing the interface to form an isolation region within the modified fin structure, where the isolation region electrically insulates the first fin portion from the second fin portion, while maintaining structural stability of the modified fin structure.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: December 25, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Murat Kerem Akarvardar, Jody A. Fronheiser
  • Patent number: 10164099
    Abstract: One illustrative device disclosed herein includes, among other things, a fin defined on a substrate. A gate electrode structure is positioned above the fin in a channel region. A source/drain region is defined in the fin. The source/drain region includes a first epitaxial semiconductor material. The first epitaxial semiconductor material includes a dopant species having a first concentration. A diffusion blocking layer is positioned above the first epitaxial semiconductor material. A second epitaxial semiconductor material is positioned above the diffusion blocking layer. The second epitaxial semiconductor material includes the dopant species having a second concentration greater than the first concentration.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: December 25, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Shesh Mani Pandey, Pei Zhao, Baofu Zhu, Francis L. Benistant
  • Patent number: 10163904
    Abstract: A semiconductor device structure is provided. The semiconductor device structure includes a first circuit, a second circuit, and a dielectric dummy gate over a substrate. The first circuit includes a first N-type fin field-effect transistor (FinFET) and a first P-type fin field-effect transistor (FinFET). The second circuit includes a second N-type fin field-effect transistor (FinFET) and a second P-type fin field-effect transistor (FinFET) beside the second N-type FinFET. The dielectric dummy gate is positioned on a common boundary portion shared by the first circuit and the second circuit. The dielectric dummy gate includes a first portion and a second portion. The first portion is positioned between the first N-type FinFET and the second N-type FinFET and formed of a first strain material. The second portion is positioned between the first P-type FinFET and the second P-type FinFET and formed of a second strain material.
    Type: Grant
    Filed: August 31, 2017
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 10145518
    Abstract: The present invention relates to a nano-scale light emitting diode (LED) electrode assembly emitting polarized light, a method of manufacturing the same, and a polarized LED lamp having the same, and more particularly, to a nano-scale LED electrode assembly in which partially polarized light close to light that is linearly polarized having one direction is emitted as an emitted light when applying a driving voltage to the nano-scale LED electrode assembly and also nano-scale LED devices are connected to a nano-scale electrode without defects such as an electrical short circuit while maximizing a light extraction efficiency, a method of manufacturing the same, and a polarized LED lamp having the same.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: December 4, 2018
    Assignee: Samsung Display Co., Ltd.
    Inventors: Young Rag Do, Yeon Goog Sung
  • Patent number: 10141432
    Abstract: A method for making a semiconductor device. A substrate having a fin structure is provided. A continuous dummy gate line is formed on the substrate. The dummy gate line strides across the fin structure. A source/drain structure is formed on the fin structure on both sides of the dummy gate line. An interlayer dielectric (ILD) is formed on the dummy gate line and around the dummy gate line. The ILD is polished to reveal a top surface of the dummy gate line. After polishing the ILD, the dummy gate line is segmented into separate dummy gates.
    Type: Grant
    Filed: September 5, 2017
    Date of Patent: November 27, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Kai Hsu, Yu-Hsiang Hung, Ssu-I Fu, Jyh-Shyang Jenq
  • Patent number: 10141470
    Abstract: The invention relates to a photodiode type structure (comprising: a support (100) including at least one semiconductor layer, the semiconductor layer (120) including of a first semiconductor zone (10) of a first type of conductivity and a mesa (130) in contact with the semiconductor layer (120). The mesa (130) includes of a second semiconductor zone (20), known as absorption zone, said second semiconductor zone (20) being of a second type of conductivity. The second semiconductor zone has a concentration of majority carriers such that the second semiconductor zone (30) is depleted in the absence of polarization of the structure (1). The structure (1) further comprises a third semiconductor zone (30) of the second type of conductivity made of a third material transparent in the absorbed wavelength range. The third semiconductor zone (30) is interposed between the first and the second semiconductor zones (10, 20) while being at least partially arranged in the semiconductor layer (120).
    Type: Grant
    Filed: February 15, 2017
    Date of Patent: November 27, 2018
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Francois Boulard, Giacomo Badano, Olivier Gravrand
  • Patent number: 10141312
    Abstract: Semiconductor devices are provided. A semiconductor device includes a first insulating material in a first fin. The semiconductor device includes a second insulating material in a second fin. The first and second insulating materials have different respective sizes. For example, in some embodiments, the first and second insulating materials have different respective widths and/or depths in the first and second fins, respectively.
    Type: Grant
    Filed: October 18, 2016
    Date of Patent: November 27, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-Jin Jeon, Young-Gun Ko, Gi-Gwan Park, Je-Min Yoo
  • Patent number: 10121706
    Abstract: One aspect of the disclosure is directed to a method of forming a semiconductor structure. The method including: removing each fin in a set of fins from between insulator pillars to expose a portion of a substrate between each insulator pillar, the substrate having a first device region and a second device region; forming a first material over the exposed portions of the substrate between each insulator pillar, the first material including a two-dimensional material; forming a second material over the first material in the first device region, the second material including a first three-dimensional bonding material; and forming a third material over the exposed first material in the second device region, the third material including a second three-dimensional bonding material.
    Type: Grant
    Filed: November 28, 2016
    Date of Patent: November 6, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Rinus T. P. Lee, Bharat V. Krishnan, Hui Zang, Matthew W. Stoker
  • Patent number: 10121960
    Abstract: A magnetic junction and method for providing the magnetic junction are described. The method includes providing a free layer, providing a pinned layer and providing a nonmagnetic spacer between the free and pinned layers. The free layer is switchable between stable magnetic states using a write current passed through the magnetic junction. At least one of the step of providing the free layer and the step of providing the pinned layer includes depositing a magnetic layer; depositing an adsorber layer on the magnetic layer and performing at least one anneal. The magnetic layer is amorphous as-deposited and includes an interstitial glass-promoting component. The adsorber layer attracts the interstitial glass-promoting component and has a lattice mismatch with the nonmagnetic spacer layer of not more than ten percent. Each of the anneal(s) is at a temperature greater than 300 degrees Celsius and not more than 425 degrees Celsius.
    Type: Grant
    Filed: December 8, 2016
    Date of Patent: November 6, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Roman Chepulskyy, Dmytro Apalkov
  • Patent number: 10121881
    Abstract: A semiconductor device preferably includes a substrate, a fin-shaped structure on the substrate, a buffer layer on the fin-shaped structure, and an epitaxial layer on the buffer layer. Preferably, the buffer layer is made of silicon germanium and including three or more than three elements. The buffer layer also includes dopants selected from the group consisting of P, As, Sb, Bi, C, and F.
    Type: Grant
    Filed: April 6, 2017
    Date of Patent: November 6, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Wei Feng, Shih-Hung Tsai, Yi-Fan Li, Kun-Hsin Chen, Tong-Jyun Huang, Jyh-Shyang Jenq, Nan-Yuan Huang
  • Patent number: 10121788
    Abstract: Disclosed is a semiconductor structure, including at least one fin-type field effect transistor and at least one single-diffusion break (SDB) type isolation region, and a method of forming the semiconductor structure. In the method, an isolation bump is formed above an isolation region within a semiconductor fin and sidewall spacers are formed on the bump. During an etch process to reduce the height of the bump and to remove isolation material from the sidewalls of the fin, the sidewall spacers prevent lateral etching of the bump. During an etch process to form source/drain recesses in the fin, the sidewalls spacers protect the semiconductor material adjacent to the isolation region. Consequently, the sides and bottom of each recess include semiconductor surfaces and the angle of the top surfaces of the epitaxial source/drain regions formed therein is minimized, thereby minimizing the risk of unlanded source/drain contacts.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: November 6, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Haiting Wang, Wei Zhao, Hong Yu, Xusheng Wu, Hui Zang, Zhenyu Hu
  • Patent number: 10109763
    Abstract: A light-emitting device that may be manufactured includes an n-type semiconductor layer including a first dopant on a substrate, an active layer on the n-type semiconductor layer, and a p-type semiconductor layer including a second dopant on the active layer. The light-emitting device may be formed according to at least one of a first layering process and a second layering process. The first layering process may include implanting the first dopant into the n-type semiconductor layer into the n-type semiconductor layer according to an ion-implantation process, and the second layering process may include implanting the second dopant into the p-type semiconductor layer according to an ion-implantation process. Forming a semiconductor layer that includes an ion-implanted dopant may include thermally annealing the semiconductor layer subsequent to the ion implantation.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: October 23, 2018
    Assignee: Samsung Electronics Co., LTD.
    Inventors: Jae-sung Hyun, Dong-yul Lee, Jung-kyu Park
  • Patent number: 10103263
    Abstract: Embodiments of the present invention provide transistor structures having strained channel regions. Strain is created through lattice mismatches in the source and drain regions relative to the channel region of the transistor. In embodiments of the invention, the transistor channel regions are comprised of germanium, silicon, a combination of germanium and silicon, or a combination of germanium, silicon, and tin and the source and drain regions are comprised of a doped III-V compound semiconductor material. Embodiments of the invention are useful in a variety of transistor structures, such as, for example, trigate, bigate, and single gate transistors and transistors having a channel region comprised of nanowires or nanoribbons.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: October 16, 2018
    Assignee: Intel Corporation
    Inventors: Van H. Le, Harold W. Kennel, Willy Rachmady, Ravi Pillarisetty, Jack Kavalieros, Niloy Mukherjee
  • Patent number: 10101303
    Abstract: A capacitive micromachined ultrasonic transducer includes a first insulating film and a second insulating film disposed with a gap therebetween, a first electrode and a second electrode disposed on outer surfaces of the first and second insulating films, respectively, with the gap therebetween, at least one cell having an electrostatic capacitance between the first and second electrodes that varies with a variation of a thickness of the gap caused by displacement of the second insulating film and the second electrode, and a voltage applying unit configured to apply a voltage to between the first electrode and the second electrode. An electric field strength applied to the first insulating film is closer to an electric field strength that causes dielectric breakdown than an electric field strength applied to the second insulating film.
    Type: Grant
    Filed: November 23, 2015
    Date of Patent: October 16, 2018
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Ayako Kato, Kazutoshi Torashima
  • Patent number: 10096523
    Abstract: A spacer structure and a fabrication method thereof are provided. The method includes the following operations. First and second conductive structures are formed over a substrate. Dielectric layer is formed to cover the first and second conductive structures. Hard mask layer is formed over the dielectric layer. The hard mask layer covers the dielectric layer over the first conductive structure, and the hard mask layer has an opening exposing the dielectric layer over the second conductive structure. The dielectric layer exposed by the hard mask layer is etched to reduce thickness of the dielectric layer. The hard mask layer is removed. The dielectric layer is etched to form first main spacer on sidewall of the first conductive structure and second main spacer on sidewall of the second conductive structure. A first width of the first main spacer is greater than a second width of the second main spacer.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 9, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Alexander Kalnitsky, Kong-Beng Thei
  • Patent number: 10084043
    Abstract: An integrated circuit die includes a quad-gate device nanowire of channel material for a transistor (e.g., single material or stack to be a channel of a MOS device) formed by removing a portion of a sub-fin material from below the channel material, where the sub-fin material was grown in an aspect ration trapping (ART) trench. In some cases, in the formation of such nanowires, it is possible to remove the defective fin material or area under the channel. Such removal isolates the fin channel, removes the fin defects and leakage paths, and forms the nanowire of channel material having four exposed surfaces upon which gate material may be formed.
    Type: Grant
    Filed: December 26, 2014
    Date of Patent: September 25, 2018
    Assignee: Intel Corporation
    Inventors: Gilbert Dewey, Matthew V. Metz, Jack T. Kavalieros, Willy Rachmady, Tahir Ghani, Anand S. Murthy, Chandra S. Mohapatra, Sanaz K. Gardner, Marko Radosavljevic, Glenn A. Glass
  • Patent number: 10084058
    Abstract: Embodiments described include straining transistor quantum well (QW) channel regions with metal source/drains, and conformal regrowth source/drains to impart a uni-axial strain in a MOS channel region. Removed portions of a channel layer may be filled with a junction material having a lattice spacing different than that of the channel material to causes a uni-axial strain in the channel, in addition to a bi-axial strain caused in the channel layer by a top barrier layer and a bottom buffer layer of the quantum well.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: September 25, 2018
    Assignee: Intel Corporation
    Inventors: Prashant Majhi, Mantu K. Hudait, Jack T. Kavalieros, Ravi Pillarisetty, Marko Radosavljevic, Gilbert Dewey, Titash Rakshit, Willman Tsai
  • Patent number: 10068978
    Abstract: At least one method, apparatus and system disclosed herein for suppressing over-growth of epitaxial layer formed on fins of fin field effect transistor (finFET) to prevent shorts between fins of separate finFET devices. A set of fins of a first transistor is formed. The set of fins comprises a first outer fin, an inner fin, and a second outer fin. An oxide deposition process is performed for depositing an oxide material upon the set of fins. A first recess process is performed for removing a portion of oxide material. This leaves a portion of the oxide material remaining on the inside walls of the first and second outer fins. A spacer nitride deposition process is performed. A spacer nitride removal process is performed, leaving spacer nitride material at the outer walls of the first and second outer fins. A second recess process is performed for removing the oxide material from the inside walls of the first and second outer fins. An epitaxial layer deposition processed upon the set of fins.
    Type: Grant
    Filed: March 29, 2017
    Date of Patent: September 4, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kwan-Yong Lim, Christopher Michael Prindle
  • Patent number: 10056455
    Abstract: A semiconductor device including a substrate, a gate stack, a pair of insulator structures, and source/drain materials is provided. The substrate has a plurality of recesses, wherein the plurality of recesses defines a protruded portion of the substrate having a channel region, and the protruded portion has a first side surface and a second side surface opposite to the first side surface. The gate stack is disposed on the protruded portion of the substrate. The pair of insulator structures are disposed within the plurality of recesses and respectively covering parts of the first side surface and the second side surface of the protruded portion, wherein the channel region is uncovered by the pair of insulator structures. The source/drain materials are disposed on the substrate in the plurality of recesses and on two opposing sides of the channel region, wherein the source/drain materials cover the pair of insulator structures.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: August 21, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ting-Chun Kuan, I-Chih Chen, Chih-Mu Huang, Ching-Pin Lin, Fu-Tsun Tsai, Ru-Shang Hsiao
  • Patent number: 10056476
    Abstract: A collector layer of an HBT includes a high-concentration collector layer and a low-concentration collector layer thereon. The low-concentration collector layer includes a graded collector layer in which the energy band gap varies to narrow with increasing distance from the base layer. The electron affinity of the semiconductor material for the base layer is greater than that of the semiconductor material for the graded collector layer at the point of the largest energy band gap by about 0.15 eV or less. The electron velocity in the graded collector layer peaks at a certain electric field strength. In the graded collector layer, the strength of the quasi-electric field, an electric field that acts on electrons as a result of the varying energy band gap, is between about 0.3 times and about 1.8 times the peak electric field strength, the electric field strength at which the electron velocity peaks.
    Type: Grant
    Filed: February 17, 2018
    Date of Patent: August 21, 2018
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yasunari Umemoto, Shigeki Koya, Isao Obu
  • Patent number: 10056464
    Abstract: Embodiments of the invention provide methods for forming III-V gate-all-around field effect transistors on silicon substrates that utilize Aspect-Ratio Trapping to reduce or eliminate dislocation defects associated with lattice mismatches. A field dielectric material defining a trench is formed on a crystalline silicon substrate. A channel feature comprising III-V material is subsequently formed inside the trench. Source/drain features are then formed at both ends of the channel feature inside the trench. Lastly, gate dielectric layers and a gate feature are formed surrounding a portion of the channel feature.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: August 21, 2018
    Assignee: International Business Machines Corporation
    Inventors: Guy M. Cohen, Sanghoon Lee
  • Patent number: 10050101
    Abstract: A semiconductor arrangement comprising; a die of III-V semiconductor material; a resistor element integrated in the die, the resistor element comprising a track defined by a first implant material in the III-V semiconductor material of the die, said track electrically isolated from substantially the remainder of the die by an isolation region that surrounds the track.
    Type: Grant
    Filed: January 11, 2017
    Date of Patent: August 14, 2018
    Assignee: Nexperia B.V.
    Inventors: Mark Andrzej Gajda, Barry Wynne
  • Patent number: 10050144
    Abstract: A method of forming a strained channel for a field effect transistor, including forming a sacrificial layer on a substrate, forming a channel layer on the sacrificial layer, forming a stressor layer on the channel layer, wherein the stressor layer applies a stress to the channel layer, forming at least one etching trench by removing at least a portion of the stressor layer, channel layer, and sacrificial layer, wherein the etching trench exposes at least a portion of a sidewall of the sacrificial layer, and separates the stressor layer, channel layer, and sacrificial layer into two or more stressor islands, channel blocks, and sacrificial slabs, and removing the sacrificial slabs to release the channel blocks from the substrate using a selective etch, wherein the channel blocks adhere to the substrate surface.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: August 14, 2018
    Assignee: International Business Machines Corporation
    Inventors: Isaac Lauer, Jiaxing Liu, Renee T. Mo
  • Patent number: 10038086
    Abstract: A process of forming a High Electron Mobility Transistor (HEMT) made of nitride semiconductor materials is disclosed. The process sequentially grows a buffer layer, a n-type layer doped with n-type dopants, and a channel layer by a metal organic chemical vapor deposition (MOCVD) technique. A feature of the process is to supply only an n-type dopant gas before the growth of the n-type layer but after the growth of the buffer layer.
    Type: Grant
    Filed: March 15, 2017
    Date of Patent: July 31, 2018
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Ken Nakata, Tsuyoshi Kouchi, Isao Makabe, Keiichi Yui
  • Patent number: 10038084
    Abstract: Energy-filtered cold electron devices use electron energy filtering through discrete energy levels of quantum wells or quantum dots that are formed through band bending of tunneling barrier conduction band. These devices can obtain low effective electron temperatures of less than or equal to 45K at room temperature, steep electrical current turn-on/turn-off capabilities with a steepness of less than or equal to 10 mV/decade at room temperature, subthreshold swings of less than or equal to 10 mV/decade at room temperature, and/or supply voltages of less than or equal to 0.1 V.
    Type: Grant
    Filed: June 7, 2017
    Date of Patent: July 31, 2018
    Assignee: Board of Regents, The University of Texas System
    Inventors: Seong Jin Koh, Pradeep Bhadrachalam, Liang-Chieh Ma
  • Patent number: 10032898
    Abstract: A method for manufacturing a HEMT transistor comprising the steps of: providing a wafer comprising a semiconductor body including a heterojunction structure formed by semiconductor materials that include elements of Groups III-V of the Periodic Table, and a dielectric layer on the semiconductor body; etching selective portions of the wafer, thus exposing a portion of the heterojunction structure; forming an interface layer by a surface reconstruction process, of a semiconductor compound formed by elements of Groups III-V of the Periodic Table, in the exposed portion of the heterojunction structure; and forming a gate electrode, including a gate dielectric and a gate conductive region, on said interface layer.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: July 24, 2018
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ferdinando Iucolano, Andrea Severino, Maria Concetta Nicotra, Alfonso Patti
  • Patent number: 10032672
    Abstract: A method for fabricating a semiconductor device includes the following steps: providing a semiconductor substrate having a first fin; forming a first set of gate structures on the first fin, where the gate structures are surrounded by an interlayer dielectric; forming a first contact hole in the interlayer dielectric between two adjacent gate structures; forming a first dopant source layer on the bottom of the first contact hole, where the dopant source layer comprise dopants with a first conductivity type; and annealing the first dopant source layer to diffuse the dopants out of the first dopant source layer.
    Type: Grant
    Filed: August 2, 2017
    Date of Patent: July 24, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Wen Hung, Shih-Hung Tsai, Chorng-Lih Young
  • Patent number: 10032910
    Abstract: Fin field-effect transistor (FinFET) devices and methods of forming the same are provided herein. In an embodiment, a FinFET device includes a semiconductor substrate having a plurality of fins disposed in parallel relationship. A first insulator layer overlies the semiconductor substrate, with the fins extending through and protruding beyond the first insulator layer to provide exposed fin portions. A gate electrode structure overlies the exposed fin portions and is electrically insulated from the fins by a gate insulating layer. Epitaxially-grown source regions and drain regions are disposed adjacent to the gate electrode structure. The epitaxially-grown source regions and drain regions have an asymmetric profile along a lateral direction perpendicular to a length of the fins.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: July 24, 2018
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Xusheng Wu, Changyong Xiao, Min-hwa Chi
  • Patent number: 10032873
    Abstract: A semiconductor device includes a substrate, at least one semiconductor fin, and at least one epitaxy structure. The semiconductor fin is present on the substrate. The semiconductor fin has at least one recess thereon. The epitaxy structure is present in the recess of the semiconductor fin. The epitaxy structure includes a topmost portion, a first portion and a second portion arranged along a direction from the semiconductor fin to the substrate. The first portion has a germanium atomic percentage higher than a germanium atomic percentage of the topmost portion and a germanium atomic percentage of the second portion.
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: July 24, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Ming Chang, Chi-Wen Liu, Cheng-Chien Li, Hsin-Chieh Huang
  • Patent number: 10026659
    Abstract: One illustrative method disclosed herein includes, among other things, forming a composite fin structure that is comprised of a first germanium-containing semiconductor material having a first concentration of germanium and a tensile-strained second semiconductor material (having a lesser germanium concentration) positioned on the first germanium-containing semiconductor material and performing a thermal anneal process to convert the first germanium-containing semiconductor material portion of the composite fin structure into a germanium-containing oxide isolation region positioned under the second semiconductor material that is a tensile-strained final fin for an NMOS FinFET device.
    Type: Grant
    Filed: January 29, 2015
    Date of Patent: July 17, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ajey Poovannummoottil Jacob, Murat Kerem Akarvardar, Jody A. Fronheiser
  • Patent number: 10020189
    Abstract: A method of forming an integrated circuit structure includes providing a wafer having a silicon substrate; forming a plurality of shallow trench isolation (STI) regions in the silicon substrate; and forming recesses by removing top portions of the silicon substrate between opposite sidewalls of the plurality of STI regions. Substantially all long sides of all recesses in the silicon substrate extend in a same direction. A III-V compound semiconductor material is then epitaxially grown in the recesses.
    Type: Grant
    Filed: March 20, 2017
    Date of Patent: July 10, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 10014296
    Abstract: Disclosed is a method of forming a semiconductor structure that includes one or more fin-type field effect transistors (FINFETs) and single-diffusion break (SDB) type isolation regions that are within a semiconductor fin and that define the active device region(s) for the FINFET(s). The isolation regions are formed so that they include a semiconductor liner. The semiconductor liner ensures that, when a source/drain recess is formed immediately adjacent to the isolation region, the bottom and opposing sides of the source/drain recess will have semiconductor surfaces onto which epitaxial semiconductor material for a source/drain region is grown. As a result, the angle of the top surface of the source/drain region relative to the top surface of the semiconductor fin is minimized. Thus, the risk that a subsequently formed source/drain contact will not reach the source/drain region is also minimized. Also disclosed is a semiconductor structure formed according to the method.
    Type: Grant
    Filed: April 14, 2017
    Date of Patent: July 3, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xinyuan Dou, Hong Yu, Sipeng Gu, Yanzhen Wang
  • Patent number: 10008565
    Abstract: Semiconductor device stacks and devices made there from having Ge-rich device layers. A Ge-rich device layer is disposed above a substrate, with a p-type doped Ge etch suppression layer (e.g., p-type SiGe) disposed there between to suppress etch of the Ge-rich device layer during removal of a sacrificial semiconductor layer richer in Si than the device layer. Rates of dissolution of Ge in wet etchants, such as aqueous hydroxide chemistries, may be dramatically decreased with the introduction of a buried p-type doped semiconductor layer into a semiconductor film stack, improving selectivity of etchant to the Ge-rich device layers.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: June 26, 2018
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, Van H. Le, Ravi Pillarisetty, Jessica S. Kachian, Marc C. French, Aaron A. Budrevich
  • Patent number: 10002759
    Abstract: The present disclosure generally relate to methods of processing a substrate in an epitaxy chamber. The method includes applying a passivating agent containing antimony to portions of a silicon substrate exposed through trenches formed in a dielectric layer on the silicon substrate, while applying the passivating agent containing antimony, exposing the silicon substrate to a group IV-containing precursor to form an epitaxial layer having a V-shaped structure having an exposed (111) plane at a bottom of the trenches, and forming a semiconductor layer on the epitaxial layer.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: June 19, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xinyu Bao, Chun Yan, Errol Antonio C. Sanchez
  • Patent number: 9985144
    Abstract: A varactor transistor includes a semiconductor fin having a first conductivity type, a plurality of gate structures separated from each other and surrounding a portion of the semiconductor fin. The plurality of gates structures include a dummy gate structure on an edge of the semiconductor fin, and a first gate structure spaced apart from the dummy gate structure. The dummy gate structure and the gate structure each include a gate insulator layer on a surface portion of the semiconductor fin, a gate on the gate insulator layer, and a spacer on the gate. The varactor transistor also includes a raised source/drain region on the semiconductor fin and between the dummy gate structure and the first gate structure, the raised source/drain region and the gate of the dummy gate structure being electrically connected to a same potential.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: May 29, 2018
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Fei Zhou
  • Patent number: 9985108
    Abstract: An electrode comes in ohmic contact with an AlGaN layer. A semiconductor device SD has a nitride semiconductor layer GN2, and an AlxGa(1-x)N layer AGN (hereinafter referred to as “AlGaN layer AGN), and Al electrodes DE, SE. in the AlGaN layer AGN, 0<x?0.2 is satisfied. Also, both of a concentration of a p-type impurity and a concentration of an n-type impurity in the AlGaN layer AGN are 1×1016 cm?3 or lower. In this example, the p-type impurity is exemplified by, for example, Be, C, and Mg, and the n-type impurity is exemplified by Si, S, and Se. Also, the Al electrodes DE and SE are connected to the AlGaN layer AGN. Because a composition ratio of Al is limited to the above-mentioned range, the Al electrodes DE and SE are brought into ohmic contact with the AlGaN layer AGN.
    Type: Grant
    Filed: July 14, 2014
    Date of Patent: May 29, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Tatsuo Nakayama, Masaaki Kanazawa, Yasuhiro Okamoto, Takashi Inoue, Hironobu Miyamoto, Ryohei Nega
  • Patent number: 9978852
    Abstract: An embodiment of a complementary GaN integrated circuit includes a GaN layer with a first bandgap. A second layer with a second bandgap is formed on the GaN layer, resulting in a 2DEG in a contact region between the GaN layer and the second layer. The second layer has a relatively thin portion and a relatively thick portion. A third layer is formed over the relatively thick portion of the second layer. The third layer has a third bandgap that is different from the second bandgap, resulting in a 2DHG in a contact region between the second layer and the third layer. A transistor of a first conductivity type includes the 2DHG, the relatively thick portion of the second layer, and the third layer, and a transistor of a second conductivity type includes the 2DEG and the relatively thin portion of the second layer.
    Type: Grant
    Filed: August 30, 2016
    Date of Patent: May 22, 2018
    Assignee: NXP USA, INC.
    Inventor: Philippe Renaud
  • Patent number: 9978868
    Abstract: The present disclosure provides a semiconductor device in accordance with some embodiments. The semiconductor device includes a substrate; a gate stack over the substrate. The gate stack includes a ferroelectric layer; a first dielectric material layer; and a first conductive layer. One of the first dielectric material layer and the ferroelectric layer is electrically charged to form a charged layer with fixed charge. The semiconductor device further includes source and drain features formed on the substrate and disposed on sides of the gate stack.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: May 22, 2018
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., NATIONAL TAIWAN UNIVERSITY
    Inventors: Der-Chuan Lai, Samuel C Pan, Yu-Cheng Shen, Min-Hung Lee, Chee-Wee Liu
  • Patent number: 9978865
    Abstract: A semiconductor device includes first source/drain regions disposed at both sides of a first gate structure and including dopants of a first conductivity type, counter regions being in contact with upper portions of the first source/drain regions and under both end portions of the first gate structure, and first halo regions in contact with bottom surfaces of the first source/drain regions. The counter regions include dopants of a second conductivity type that is different from the first conductivity type. The first halo regions include dopants of the second conductivity type.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: May 22, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae Hong Kwon, Youngho Lee, Hoon Lim, Hyungsoon Jang, Eunguk Chung
  • Patent number: 9972716
    Abstract: Provided are semiconductor devices that include an active pattern on a substrate, first and second gate electrodes on the active pattern and arranged in a first direction relative to one another and a first source/drain region in a first trench that extends into the active pattern between the first and second gate electrodes. The first source/drain region includes a first epitaxial layer that is configured to fill the first trench and that includes at least one plane defect that originates at a top portion of the first epitaxial layer and extends towards a bottom portion of the first epitaxial layer.
    Type: Grant
    Filed: August 14, 2015
    Date of Patent: May 15, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Bum Kim, Seok-Hoon Kim, Chul Kim, Kwan-Heum Lee, Byeong-Chan Lee, Cho-Eun Lee, Su-Jin Jung, Bon-Young Koo
  • Patent number: 9972543
    Abstract: Present embodiments provide for a complementary nanowire semiconductor device and fabrication method thereof.
    Type: Grant
    Filed: May 5, 2017
    Date of Patent: May 15, 2018
    Assignee: Zing Semiconductor Corporation
    Inventor: Deyuan Xiao
  • Patent number: 9966519
    Abstract: Techniques are provided for forming a gallium nitride flip-chip light-emitting diode. In an aspect, a device is provided that includes a gallium nitride layer, a passivation layer, a set of first conductive layers, and a second conductive layer. The gallium nitride layer is formed on a substrate that includes a first plurality of recesses associated with a first structure and a second plurality of recesses associated with a second structure, where the first plurality of recesses and the second plurality of recesses are associated with a first conductive material. The set of first conductive layers is formed on the passivation layer and corresponds to the first conductive material. The second conductive layer is formed on the passivation layer and corresponds to a second conductive material.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: May 8, 2018
    Assignee: THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Kei May Lau, Wing Cheung Chong
  • Patent number: 9960572
    Abstract: A semiconductor device includes a semiconductor layer formed of a III-V group semiconductor crystal containing As as a primary component of a V group. A V group element other than As has been introduced at a concentration of 0.02 to 5% into a V group site of the III-V group semiconductor crystal in the semiconductor layer.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: May 1, 2018
    Assignee: FURUKAWA ELECTRIC CO., LTD.
    Inventors: Masayuki Iwami, Hirotatsu Ishii, Norihiro Iwai, Takeyoshi Matsuda, Akihiko Kasukawa, Takuya Ishikawa, Yasumasa Kawakita, Eisaku Kaji
  • Patent number: 9953884
    Abstract: In one example, a device includes a p-type field effect transistor region and n-type field effect transistor region. The p-type field effect transistor region includes at least one fin including strained germanium. The n-type field effect transistor region also includes at least one fin including strained germanium.
    Type: Grant
    Filed: November 16, 2016
    Date of Patent: April 24, 2018
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek