With Discontinuous Or Varying Thickness Layer (e.g., Layer Covers Only Selected Portions Of Semiconductor) Patents (Class 257/638)
  • Patent number: 7629672
    Abstract: A semiconductor device is provided with a semiconductor substrate having circuit elements formed therein, and an insulating protective film formed on the semiconductor substrate. Hydroxyl groups (OH) are attached to a surface of the protective film. As a result, the contact angle between surface of the protective film and a water droplet is less than or equal to 40 degrees.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: December 8, 2009
    Assignees: Toyota Jidosha Kabushiki Kaisha, Kabushiki Kaisha Toshiba
    Inventors: Tetsuya Kanata, Shinichi Umekawa, Koji Terada, Yasushi Takahashi
  • Patent number: 7612433
    Abstract: A method of manufacturing semiconductor devices having self-aligned contacts is provided. Multiple isolation structures are formed on the substrate to define an active area. Multiple gate structures are formed on the substrate. Multiple doped areas are formed in the substrate beside each gate structure. Multiple first spacers are formed on the sidewalls of each of the gate structure. Multiple second spacers are formed on the sidewalls of each of the isolation structure. A dielectric layer is formed on the substrate. Then, a self-aligned process is performed to form multiple contact openings in the dielectric layer between the gate structures. The conductive material is filled in the contact openings.
    Type: Grant
    Filed: September 21, 2005
    Date of Patent: November 3, 2009
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Min-San Huang, Hann-Jye Hsu, Yung-Chung Yao
  • Patent number: 7566950
    Abstract: The present invention provides a method for fabricating a flexible pixel array substrate as follows. First, a release layer is formed on a rigid substrate. Next, on the release layer, a polymer film is formed, the adhesive strength between the rigid substrate and the release layer being higher than that between the release layer and the polymer film. The polymer film is formed by spin coating a polymer monomer and performing a curing process to form a polymer layer. Afterwards, a pixel array is formed on the polymer film. The polymer film with the pixel array formed thereon is separated from the rigid substrate.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: July 28, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Jen Huang, Jung-Fang Chang, Yih-Rong Luo, Yu-Hung Chen
  • Patent number: 7563731
    Abstract: By increasing the transistor topography after forming a first layer of highly stressed dielectric material, additional stressed material may be added, thereby efficiently increasing the entire layer thickness of the stressed dielectric material. The corresponding increase of device topography may be accomplished on the basis of respective placeholder structures or dummy gates, wherein well-established gate patterning processes may be used or wherein nano-imprint techniques may be employed. Hence, in some illustrative embodiments, a significant increase of strain may be obtained on the basis of well-established process techniques.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: July 21, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christoph Schwan, Manfred Horstmann, Kai Frohberg, Rolf Stephan
  • Patent number: 7554110
    Abstract: A semiconductor structure includes a semiconductor substrate having a first lattice constant; a gate dielectric on the semiconductor substrate; a gate electrode on the semiconductor substrate; and a stressor having at least a portion in the semiconductor substrate and adjacent the gate electrode. The stressor has a tilted sidewall on a side adjacent the gate electrode. The stressor includes a first stressor layer having a second lattice constant substantially different from the first lattice constant; and a second stressor layer on the first stressor layer, wherein the second stressor has a third lattice constant substantially different from the first and the second lattice constants.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: June 30, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Mong-Song Liang, Tze-Liang Lee, Jr-Hung Li
  • Publication number: 20090140398
    Abstract: In a method for forming hard mask patterns of a semiconductor device first hard mask patterns are formed on a semiconductor substrate. Second hard mask patterns are formed and include first patterns which are substantially perpendicular to the first hard mask patterns and second patterns which are positioned between the first hard mask patterns. Third hard mask patterns are formed between the first patterns.
    Type: Application
    Filed: March 24, 2008
    Publication date: June 4, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Yung JUNG
  • Patent number: 7489020
    Abstract: An elevated containment structure in the shape of a wafer edge ring surrounding a surface of a semiconductor wafer is disclosed, as well as methods of forming and using such a structure. In one embodiment, a wafer edge ring is formed using a stereolithography (STL) process. In another embodiment, a wafer edge ring is formed with a spin coating apparatus provided with a wafer edge exposure (WEE) system. In further embodiments, a wafer edge ring is used to contain a liquid over a wafer active surface during a processing operation. In one embodiment, the wafer edge ring contains a liquid having a higher refractive index than air while exposing a photoresist on the wafer by immersion lithography. In another embodiment, the wafer edge ring contains a curable liquid material while forming a chip scale package (CSP) sealing layer on the wafer.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: February 10, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Peter A. Benson
  • Patent number: 7470975
    Abstract: It is an object of the present invention to provide, with good yields, a composition for forming an insulation film which allows obtaining an insulation film for a semiconductor device having a low dielectric constant, excellent stress resistance and excellent crack resistance; an insulation film for a semiconductor device formed from the composition for forming an insulation film; and a high quality and highly reliable semiconductor device fabricated using the insulation film for a semiconductor device. This composition for forming an insulation film comprises a polymer of which the main chain is a chain portion which substantially contains only carbon, silicon and hydrogen, and which contains nitrogen in portions other than the main chain. It is preferable that nitrogen exists as a constituent represented by Formula 1 in the polymer.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: December 30, 2008
    Assignee: Fujitsu Limited
    Inventors: Tadahiro Imada, Yoshihiro Nakata, Yasushi Kobayashi
  • Patent number: 7464854
    Abstract: A method of bonding a wire between a first bonding location and a second bonding location is provided. The method includes bonding a first end of a wire to a first bonding location using a wire bonding tool to form a first wire bond. The method also includes forming a looped portion in the wire adjacent the first wire bond. The method also includes lowering the wire bonding tool in a direction towards the first wire bond after the forming step. The lowering step is interrupted prior to the wire bonding tool contacting the first wire bond. The method also includes bonding a second end of the wire to a second bonding location.
    Type: Grant
    Filed: January 6, 2006
    Date of Patent: December 16, 2008
    Assignee: Kulicke and Soffa Industries, Inc.
    Inventor: Stephen E Babinetz
  • Publication number: 20080296582
    Abstract: A thin film transistor liquid crystal display (TFT-LCD) array substrate with a repairable pixel structure is provided. The array substrate comprises a gate line and a data line, and the gate line and the data line intersect with each other to define a pixel unit. The pixel unit comprises a TFT and a pixel electrode, and a spare source electrode, a spare drain electrode, and a spare channel region are formed alongside a channel region of the TFT to form a spare TFT.
    Type: Application
    Filed: April 2, 2008
    Publication date: December 4, 2008
    Applicant: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Jigang ZHAO, Ki Yong KIM, Yubo XU
  • Patent number: 7419897
    Abstract: A method of fabricating an electrical connecting structure of a circuit board is disclosed. The method includes: providing a circuit board having a plurality of first and a plurality of second conductive pads; forming on the circuit board a solder mask having a plurality of openings to thereby expose the first and the second conductive pads; forming an metal adhesive layer on the first and the second conductive pads; forming a conductive layer on the circuit board and the metal adhesive layer; forming on the conductive layer a resistive layer, wherein a plurality of openings are formed in the resistive layer to expose the conductive layer on the second conductive pads; forming a metal post by electroplating through the conductive layer on the second conductive pads; removing the resistive layer and the conductive layer covered underneath; and forming a soldering layer on the metal post.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: September 2, 2008
    Assignee: Phoenix Precision Technology Corporation
    Inventor: Chao-Wen Shih
  • Patent number: 7420264
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: September 2, 2008
    Assignee: Intel Corporation
    Inventor: Michael Goldstein
  • Publication number: 20080203524
    Abstract: Disclosed are embodiments of a semiconductor structure and method of forming the structure with selectively adjusted reflectance and absorption characteristics in order to selectively control temperature changes during a rapid thermal anneal and, thereby, to selectively control variations in device performance and/or to selectively optimize the anneal temperature of such devices. Selectively controlling the temperature changes in different devices during a rapid thermal anneal is accomplished by selectively varying the isolation material thickness in different sections of a shallow trench isolation structures. Alternatively, it is accomplished by selectively varying the pattern of fill structures in different sections of a semiconductor wafer so that predetermined amounts of shallow trench isolation regions in the different sections are exposed.
    Type: Application
    Filed: February 26, 2007
    Publication date: August 28, 2008
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7397126
    Abstract: The present invention provides inhibiting an electrical leakage caused by anion migration. A trenched portion 15 is provided as ion migration-preventing zone between a source electrode 4 and a gate electrode 5. The trenched portion 15 is formed so as to surround a periphery of the source electrode 4.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: July 8, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Tomoki Kato
  • Patent number: 7391094
    Abstract: A semiconductor structure includes a substrate having a surface and being made of a material that provides atypical surface properties to the surface, a bonding layer on the surface of the substrate, and a further layer molecularly bonded to the bonding layer. A method for fabricating such a semiconductor structure includes providing a substrate having a surface and being made of a material that provides atypical surface properties to the surface, providing a bonding layer on the surface of the substrate, smoothing the bonding layer to provide a surface that is capable of molecular bonding, and molecularly bonding a further layer to the bonding layer to form the structure. The atypical surface properties preferably include at least one of a roughness of more than 0.5 nm rms, or a roughness of at least 0.4 nm rms that is difficult to polish, or a chemical composition that is incompatible with molecular bonding.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: June 24, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Olivier Rayssac, Muriel Martinez, Sephorah Bisson, Lionel Portigliatti
  • Patent number: 7388279
    Abstract: Disclosed are tapered dielectric and conductor structures which provide controlled impedance interconnection while signal conductor lines transition from finer pitches to coarser pitches thereby obviating electrical discontinuities generally associated with changes of circuit contact pitch. Also disclosed are methods for the construction of the devices and applications therefore.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 17, 2008
    Assignee: Interconnect Portfolio, LLC
    Inventors: Joseph C. Fjelstad, Kevin P. Grundy, Para K. Segaram, Gary Yasumura
  • Patent number: 7385277
    Abstract: A semiconductor chip may include a semiconductor substrate that may have a semiconductor device pattern. A passivation layer may be provided on a surface of the semiconductor substrate. At least one elastic protecting layer may be provided on the passivation layer. The elastic protecting layer may have a pattern composed of grooves formed on a surface.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: June 10, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyung-Lae Eun
  • Patent number: 7326987
    Abstract: The present invention relates to metal-insulator-metal (MIM) capacitors and field effect transistors (FETs) formed on a semiconductor substrate. The FETs are formed in Front End of Line (FEOL) levels below the MIM capacitors which are formed in upper Back End of Line (BEOL) levels. An insulator layer is selectively formed to encapsulate at least a top plate of the MIM capacitor to protect the MIM capacitor from damage due to process steps such as, for example, reactive ion etching. By selective formation of the insulator layer on the MIM capacitor, openings in the inter-level dielectric layers are provided so that hydrogen and/or deuterium diffusion to the FETs can occur.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: February 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Wagdi Abadeer, Eric Adler, Zhong-Xiang He, Bradley Orner, Vidhya Ramachandran, Barbara A. Waterhouse, Michael Zierak
  • Patent number: 7319274
    Abstract: Methods for the production of airgaps in semiconductor devices and devices produced using such methods are disclosed. An example semiconductor device includes a damascene stack formed using such methods. The damascene stack includes a patterned dielectric layer including an interconnect structure, where the dielectric layer is formed of a dielectric material including Si, C and O. The damascene stack also includes a converted portion of the dielectric layer, where the converted portion is adjacent to the at least one interconnect structure and has a lower carbon content than the dielectric material. The damascene stack also includes an airgap formed adjacent to the interconnect structure, the airgap being formed by removing at least part of the converted portion using an etch compound.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: January 15, 2008
    Assignee: Interuniversitair Microelektronica Centrum (IMEC v2w)
    Inventors: Gerald Beyer, Jean Paul Gueneau de Mussy, Karen Maex, Victor Sutcliffe
  • Patent number: 7312400
    Abstract: A multilayer wiring board assembly component comprises: an insulating substrate component (the insulating resin layer 111); a conductive layer 112 formed on one surface of said insulating substrate component 111 in the form of an electrode pattern; an adhesive layer 113 formed on the other surface of said insulating substrate component 111; and a conductive resin composition 115 with which is filled a through hole passing through said insulating substrate component 111, said adhesive layer and said conductive layer in order to make interlayer interconnection. The bore diameter of the conductive layer portion 114b of the through hole 114 is smaller than the bore diameter of the insulating resin layer portion and the adhesive layer portion 114a to establish electrical connection between the conductive resin composition 115 and the conductive layer 112 by the rare surface 112a of the conductive layer 112.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: December 25, 2007
    Assignee: Fujikura Ltd.
    Inventors: Shoji Ito, Osamu Nakao, Reiji Higuchi, Masahiro Okamoto
  • Publication number: 20070215986
    Abstract: A hard mask layer stack for patterning a layer to be patterned includes a carbon layer disposed on top of the layer to be patterned, a first layer of a material selected from the group of SiO2 and SiON disposed on top of the carbon layer and a silicon layer disposed on top of the first layer. A method of patterning a layer to be patterned includes providing the above described hard mask layer stack on the layer to be patterned and patterning the silicon hard mask layer in accordance with a pattern to be formed in the layer that has to be patterned.
    Type: Application
    Filed: March 15, 2006
    Publication date: September 20, 2007
    Inventors: Dirk Manger, Hocine Boubekeur, Martin Verhoeven, Nicolas Nagel, Thomas Tatry, Dirk Caspary, Matthias Markert
  • Patent number: 7259432
    Abstract: A semiconductor device includes: a gate electrode formed on a substrate; impurity regions formed in the substrate and to both sides of the gate electrode; a first interlayer insulating film formed to cover the gate electrode; and a second interlayer insulating film formed so as to be aligned in a direction parallel to the principal surface of the substrate and adjacent to the gate electrode with a part of the first interlayer insulating film interposed therebetween. The second interlayer insulating film has a lower relative permeability than the first interlayer insulating film.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: August 21, 2007
    Assignee: Matsushita Electric Industrisl Co., Ltd.
    Inventor: Masaki Tamaru
  • Patent number: 7214629
    Abstract: A semiconductor device has an NMOS portion and a PMOS portion. A first stress layer overlies a first channel to provide a first stress type to the channel and a first modified stress layer is formed from a portion of the first stress layer overlying a second channel. A second stress layer providing a second stress type overlies the first modified stress layer and a second modified stress layer is formed from a portion of the second stress layer overlying the first stress layer.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: May 8, 2007
    Assignee: Xilinx, Inc.
    Inventors: Yuhao Luo, Deepak Kumar Nayak
  • Patent number: 7208836
    Abstract: A semiconductor processing method of forming a plurality of conductive lines includes, a) providing a substrate; b) providing a first conductive material layer over the substrate; c) providing a first insulating material layer over the first conductive layer; d) etching through the first insulating layer and the first conductive layer to the substrate to both form a plurality of first conductive lines from the first conductive layer and provide a plurality of grooves between the first lines, the first lines being capped by first insulating layer material, the first lines having respective sidewalls; e) electrically insulating the first line sidewalls; and f) after insulating the sidewalls, providing the grooves with a second conductive material to form a plurality of second lines within the grooves which alternate with the first lines. Integrated circuitry formed according to the method, and other methods, is also disclosed.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: April 24, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Monte Manning
  • Patent number: 7198993
    Abstract: A method (100) of forming fully-depleted (90) and partially-depleted (92) silicon-on-insulator (SOI) devices on a single die in an integrated circuit device (2) is disclosed using SOI starting material (4, 6, 8) and a selective epitaxial growth process (110).
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: April 3, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Howard L. Tigelaar, Gabriel G. Barna, Olivier Alain Faynot
  • Patent number: 7190052
    Abstract: A semiconductor device structure includes a passivation layer through which only non-silicon-comprising structures are exposed. The semiconductor device structure is formed by selectively forming the passivation layer on an exposed silicon-comprising surface by exposing surfaces of the semiconductor device to a liquid phase solution supersaturated in silicon dioxide. The exposure is conducted at substantially atmospheric temperature and pressure and achieves an effective passivation layer in an abbreviated time, and without subsequent heat treatment. A wafer that includes a back side coated with such a passivation layer may be subjected to a high-speed electroless process for plating the bond pad with a solder-enhancing material. The semiconductor device structure may also include via holes and microvia holes with walls that are passivated.
    Type: Grant
    Filed: June 3, 2003
    Date of Patent: March 13, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Joseph T. Lindgren
  • Patent number: 7187038
    Abstract: A semiconductor device includes a substrate, MOS transistors in the substrate, and a dielectric layer on the MOS transistors. Contact holes are formed through the dielectric layer to provide electrical connection to the MOS transistors. An etch-stop layer is between the MOS transistors and the dielectric layer. The etch-stop layer includes a first layer of material having a first residual stress level and covers some of the MOS transistors, and a second layer of material having a second residual stress level and covers all of the MOS transistors. The respective thickness of the first and second layers of material, and the first and second residual stress levels associated therewith are selected to obtain variations in operating parameters of the MOS transistors.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: March 6, 2007
    Assignee: STMicroelectronics SA
    Inventors: Pierre Morin, Jorge Luis Regolini
  • Patent number: 7164177
    Abstract: A multi-level memory cell including a substrate, a tunneling dielectric layer, a charge-trapping layer, a top dielectric layer, a gate and a pair of source/drain regions is provided. The tunneling dielectric layer, the charge-trapping layer and the top dielectric layer are sequentially formed between the substrate and the gate. The top dielectric layer has at least two portions, and the top dielectric layer in each portion has a different thickness. The source/drain regions are disposed in the substrate on each side of the gate. Since the thickness of the top dielectric layer in each portion is different, the electric field strength between the gate and the substrate when a voltage is applied to the memory cell are different in each portion. With the number of charges trapped within the charge-trapping layer different in each portion, a multiple of data bits can be stored within each memory cell.
    Type: Grant
    Filed: January 2, 2004
    Date of Patent: January 16, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Chiu-Tsung Huang
  • Patent number: 7067901
    Abstract: A stereolithographic method of applying material to form a protective layer on a preformed semiconductor die with a high degree of precision, either in the wafer stage, when attached to a lead frame, or to a singulated, bare die. The method is computerized and may utilize a machine vision feature to provide precise die-specific alignment. A semiconductor die may be provided with a protective structure in the form of at least one layer or segment of dielectric material having a controlled thickness or depth and a very precise boundary. The layer or segment may include precisely sized, shaped and located apertures through which conductive terminals, such as bond pads, on the surface of the die may be accessed. A plurality of discrete protective structures may be formed on corresponding semiconductor devices that are carried by a large-scale semiconductor substrate. Dielectric material may also be employed as a structure to mechanically reinforce the die-to-lead frame attachment.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Alan G. Wood
  • Patent number: 7034380
    Abstract: The present invention describes a structure having a multilayer stack of thin films, the thin films being a low-dielectric constant material, the thin films having pores, and a method of forming such a structure.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventor: Ebrahim Andideh
  • Patent number: 7023093
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7009281
    Abstract: A system and method of processing a substrate including loading a substrate into a plasma chamber and setting a pressure of the plasma chamber to a pre-determined pressure set point. Several inner surfaces that define a plasma zone are heated to a processing temperature of greater than about 200 degrees C. A process gas is injected into the plasma zone to form a plasma and the substrate is processed.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: March 7, 2006
    Assignee: Lam Corporation
    Inventors: Andrew D. Bailey, III, Tuqiang Ni
  • Patent number: 7002210
    Abstract: On a semiconductor substrate, a well is formed. In the well, one MOS transistor including a gate electrode, a source region, a source field limiting layer and a source/drain region, and another MOS transistor including a gate electrode, a drain electrode, a drain field limiting layer and a source/drain region are formed. The one and another MOS transistors are connected in series through the source/drain region common to the two transistors. Accordingly, a semiconductor device can be provided in which increase in pattern layout area is suppressed when elements including a high-breakdown voltage MOS transistor are to be connected in series.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: February 21, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Masatoshi Taya
  • Patent number: 6960809
    Abstract: A polysilicon thin film transistor and a method of forming the same is provided. A poly-island layer is formed over a substrate. A gate insulation layer is formed over the poly-island layer. A gate is formed over the gate insulation layer. Using the gate as a mask, an ion implantation of the poly-island layer is carried out to form a source/drain region in the poly-island layer outside the channel region. An oxide layer and a silicon nitride layer, together serving as an inter-layer dielectric layer, are sequentially formed over the substrate. Thickness of the oxide layer is thicker than or the same as (thickness of the nitride layer multiplied by 9000 ?)1/2 and maximum thickness of the nitride layer is smaller than 1000 ?.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: November 1, 2005
    Assignee: Au Optronics Corporation
    Inventors: Kun-Hong Chen, Chinwei Hu
  • Patent number: 6943451
    Abstract: Novel semiconductor devices containing a discontinuous cap layer and possessing a relatively low dielectric constant are provide herein. The novel semiconductor devices includes at least a substrate, a first dielectric layer applied on at least a portion of the substrate, a first set of openings formed through the dielectric layer to expose the surface of the substrate so that a conductive material deposited within and filling the openings provides a first set of electrical contact conductive elements and a discontinuous layer of cap material covering at least the top of the conductive elements to provide a first set of discontinuous cap elements. Methods for forming the semiconductor devices are also provided.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: September 13, 2005
    Assignee: International Business Machines Corporation
    Inventors: Stanley Joseph Whitehair, Stephen McConnell Gates, Sampath Purushothaman, Satyanarayana V. Nitta, Maurice McGlashan-Powell, Kevin S. Petrarca
  • Patent number: 6936916
    Abstract: A method and apparatus for supporting a microelectronic substrate. The apparatus can include a microelectronic substrate and a support member carrying the microelectronic substrate. The apparatus can further include a first connection structure carried by the support member. The first connection structure can have a first bond site configured to receive a flowable conductive material, and can further have at least two first elongated members connected and extending outwardly from the first bond site. Each first elongated member can be configured to receive at least a portion of the flowable conductive material from the first bond site, with none of the first elongated members being electrically coupled to the microelectronic substrate. The assembly can further include a second connection structure that is electrically coupled to the microelectronic substrate and that can include second elongated members extending away from a second bond site.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: August 30, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Stephen Moxham, William Stephenson
  • Patent number: 6924197
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6921937
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: July 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6919606
    Abstract: A semiconductor device includes a semiconductor layer of a first conductive type formed in an active region, a first gate electrode formed on the semiconductor layer via a gate insulating film in a predetermined pattern, a first insulating mask formed on at least a part of the first gate electrode and a part of the semiconductor layer, and a pair of first diffusion regions of a second conductive type formed in the active region not covered with the first insulating mask and first gate electrode. The pair of first diffusion regions is positioned adjacent to the first gate electrode and being used as a source and drain.
    Type: Grant
    Filed: December 26, 2001
    Date of Patent: July 19, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tomoaki Shino
  • Patent number: 6911686
    Abstract: There is provided a semiconductor device which is manufactured via steps of forming a capacitor which is obtained by forming in sequence an upper electrode, a dielectric film formed of ferroelectric material or high-dielectric material, and a lower electrode on a semiconductor substrate, then forming an interlayer insulating film on the capacitor, then planarizing a surface of the interlayer insulating film by the CMP polishing, then removing a moisture attached to a surface of the interlayer insulating film or a moisture contained in the interlayer insulating film by applying the plasma annealing using an N2O gas, and then forming a redeposited interlayer film on the interlayer insulating film.
    Type: Grant
    Filed: June 15, 2000
    Date of Patent: June 28, 2005
    Assignee: Fujitsu Limited
    Inventor: Akio Itoh
  • Patent number: 6876039
    Abstract: The dependency of threshold voltage on adjusted bias voltage is varied between N-channel and P-channel MOSFETs. A support substrate, an insulating layer on the support substrate, and island-shaped first and second silicon layers separately formed on the insulating layer; a first MOSFET formed of a fully depleted SOI where a first channel part is formed in a first silicon layer; and a second MOSFET formed of a partially depleted SOI where a second channel part is formed in a second silicon layer, the second MOSFET configures a complementary MOSFET with the first MOSFET, are provided. The threshold voltage of the second MOSFET formed of the partially depleted SOI is hardly varied because of a neutral region in the second channel part, although bias voltage is applied to the support substrate to vary the threshold voltage of the first MOSFET formed of the fully depleted SOI.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: April 5, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Masao Okihara
  • Patent number: 6876065
    Abstract: A semiconductor device and fabrication method thereof that uses a far ultraviolet ray photolithography, which may be used to prevent the lift phenomenon of a photoresist pattern, is disclosed. The semiconductor device may be fabricated by the process of: forming a film which is an object of forming a pattern on a structure of a semiconductor substrate; forming a anti-reflection layer on the film to form a stacking structure including the film and the anti-reflection layer; performing a plasma treatment to form grooves on a upper surface of the stacking structure; forming a photoresist pattern on the stacking structure on which the grooves are formed; and etching the stacking structure using the photoresist pattern as a mask to form a stacking structure pattern.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: April 5, 2005
    Assignee: Anam Semiconductor Inc.
    Inventor: Young-Min Kwon
  • Patent number: 6870225
    Abstract: An improved transistor structure that decreases source/drain (S/D) resistance without increasing gate-to-S/D capacitance, thereby increasing device operation. S/D structures are formed into recesses formed on a semiconductor wafer through a semiconductor layer and a first layer of a buried insulator having at least two layers. A body is formed from the semiconductor layer situated between the recesses, and the body comprises a top body surface and a bottom body surface that define a body thickness. Top portions of the S/D structures are within and abut the body thickness. An improved method for forming the improved transistor structure is also described and comprises: forming recesses through a semiconductor layer and a first layer of a buried insulator so that a body is situated between the recesses; and forming S/D structures into the recesses so that top portions of the S/D structures are within and abut a body thickness.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Mark D. Jaffe
  • Patent number: 6822309
    Abstract: Adjacent ones of a plurality of fuse electrodes extending parallel to each other are cut off by a laser beam. Cutting positions on the adjacent fuse electrodes are set to positions which are different from each other in a direction in which the fuse electrodes extend. Since the cutting positions on the adjacent fuse electrodes are different from each other, the adjacent fuse electrodes are prevented from being short-circuited by fragments of components thereof that are scattered when the laser beam is applied to cut off the fuse electrodes.
    Type: Grant
    Filed: March 22, 2001
    Date of Patent: November 23, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Tomoki Hirota
  • Patent number: 6818967
    Abstract: A fabricating method of low temperature poly-silicon film is described. An amorphous silicon layer is formed on a substrate first; then, an anneal treatment is performed on the amorphous silicon layer for forming a poly-silicon layer (poly-silicon film) from the amorphous silicon layer. Several mounds are formed on the surface of the poly-silicon layer. A surface treatment step is performed; then, another laser anneal step is conducted on the poly-silicon layer. Since the size of these mounds on the surface of the poly-silicon layer can be reduced, the issue that the mounds are too big and have different sizes in the prior art can be resolved.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: November 16, 2004
    Assignee: Au Optronics Corporation
    Inventor: Yun-Sheng Chen
  • Patent number: 6818496
    Abstract: This invention relates to the field of semiconductor integrated circuits and, particularly to stand-alone and embedded memory chips fabricated on Silicon-on-Insulator (SOI) substrates and devices. Partially depleted (PD) and fully depleted (FD) devices are utilized on the same chip. The invention is a process flow utilizing fully depleted SOI devices in one area of the chip and partially depleted SOI devices in selected other areas of the chip. The choice of fully depleted or partially depleted is solely determined by the circuit application in that specific area of the chip. The invention is able to be utilized in accordance with DRAM processing, and especially embedded DRAMs with their large proportion of associated logic circuitry.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: November 16, 2004
    Assignee: Micron Technology, Inc,
    Inventors: Charles H. Dennison, John K. Zahurak
  • Patent number: 6815805
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Publication number: 20040212048
    Abstract: The invention includes a method of forming a rugged semiconductor-containing surface. A first semiconductor layer is formed over a substrate, and a second semiconductor layer is formed over the first semiconductor layer. Subsequently, a third semiconductor layer is formed over the second semiconductor layer, and semiconductor-containing seeds are formed over the third semiconductor layer. The seeds are annealed to form the rugged semiconductor-containing surface. The first, second and third semiconductor layers are part of a common stack, and can be together utilized within a storage node of a capacitor construction. The invention also includes semiconductor structures comprising rugged surfaces. The rugged surfaces can be, for example, rugged silicon.
    Type: Application
    Filed: April 25, 2003
    Publication date: October 28, 2004
    Inventors: Shenlin Chen, Trung Tri Doan, Guy T. Blalock, Lyle D. Breiner, Er-Xuan Ping
  • Patent number: 6800928
    Abstract: A surface treatment for porous silica to enhance adhesion of overlying layers. Treatments include surface group substitution, pore collapse, and gap filling layer (520) which invades open surface pores (514) of xerogel (510).
    Type: Grant
    Filed: May 28, 1998
    Date of Patent: October 5, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Wei William Lee, Richard Scott List, Changming Jin
  • Patent number: 6798057
    Abstract: A thin-stacked ball grid array (BGA) package is created by coupling a semi-conducting die to each of the opposing faces of an interposer having bond pads and circuitry on both faces. Solder balls on either side of each die and/or the interposer provide interconnects for stacking packages and also provide interconnects for module mounting. Each die may be electrically coupled to the interposer using wire bonds, “flip-chip” techniques, or other techniques as appropriate. A redistribution layer may also be formed on the outer surface of a bumped die to create connections between the die circuitry, ball pads and/or wire bonding pads. Because the two die are coupled to each other on opposite faces of the interposer, each package is extremely space-efficient. Individual packages may be stacked together prior to encapsulation or molding to further improve the stability and manufacturability of the stacked package.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Todd O. Bolkin, Chad A. Cobbley