Polysilicon Laminated With Silicide Patents (Class 257/755)
  • Patent number: 6787833
    Abstract: This invention relates to contact structures for use in integrated circuits and methods of fabricating contact structures. In one embodiment, a contact structure includes a conductive layer, one or more barrier layers formed above the conductive layer, and a barrier structure encircling the polysilicon layer and the one or more barrier layers. In an alternate embodiment, a contact structure is fabricated by forming a polysilicon layer on a substrate, forming a tungsten nitride layer above the polysilicon layer, and etching the polysilicon layer and the tungsten nitride layer to a level below the surface of a substrate structure. A silicon nitride layer is formed above the tungsten nitride layer, and a ruthenium silicide layer is formed above the silicon nitride layer. The ruthenium silicide layer is then polished.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: September 7, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Fred Fishburn
  • Patent number: 6770972
    Abstract: A method for forming within a substrate employed within a microelectronics fabrication an electrical interconnection cross-over bridging between conductive regions separated by non-conductive regions formed within the substrate. There is formed over a substrate provided with conductive and non-conductive regions a blanket dielectric layer and a blanket polysilicon layer. After patterning the polysilicon and dielectric layers. A portion of the dielectric layer at the periphery of the polysilicon layer is etched away, leaving a gap between the polysilicon patterned layer and the underlying substrate contact region. There is formed over the substrate a layer of refractory metal and after rapid thermal annealing, there is formed a surface layer of refractory metal silicide over the surfaces of the polysilicon layer and within the gap between the polysilicon layer and the substrate, completing the electrical connection.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 3, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-der Tseng, Kuo-Ho Jao
  • Patent number: 6759741
    Abstract: A matched set of integrated circuit chips (34, 38) includes a chip (34) from a first wafer (22) and a chip (38) from a second wafer (24). The chips (34, 38) of the first and second wafers (22, 24) are tested together as part of a wafer-interposer assembly (10). The matched set comprises a first chip assembly diced from the wafer-interposer assembly (10) having one of the chips (34) from the first wafer (22) and a second chip assembly diced from the wafer-interposer assembly (10) having one of the chips (38) from the second wafer (24). A substrate is electrically coupled to the first and second chip assemblies, the first and second chip assemblies being selected for the matched set based upon sorting of the chips (34, 38) of the first and second wafers (22, 24) as a result of the testing.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: July 6, 2004
    Assignee: Eaglestone Partners I, LLC
    Inventor: Jerry D. Kline
  • Patent number: 6737716
    Abstract: Disclosed is a method of manufacturing a semiconductor device, comprising forming a metal compound film directly or indirectly on a semiconductor substrate, forming a metal-containing insulating film consisting of a metal oxide film or a metal silicate film by oxidizing the metal compound film, and forming an electrode on the metal-containing insulating film.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: May 18, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kouji Matsuo, Tomohiro Saito, Kyoichi Suguro, Shinichi Nakamura
  • Patent number: 6727549
    Abstract: A method of fabricating a film of active devices is provided. First damaged regions are formed, in a substrate, underneath first areas of the substrate where active devices are to be formed. Active devices are formed onto the first areas. Second damaged regions are formed, in the substrate, between the first damaged regions. The film is caused to detach from a rest of the substrate at a location where the first and second damaged regions are formed.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: April 27, 2004
    Assignee: Intel Corporation
    Inventor: Brian S. Doyle
  • Patent number: 6713872
    Abstract: A first silicon oxide film is formed in such a manner as to cover source/drain regions of a transistor. A conductive pad is provided in the first silicon oxide film in such a manner that one end surface thereof is connected to each source/drain region and the other end surface thereof is exposed to the surface of the first silicon oxide film. A second silicon oxide film is formed on the first silicon oxide film and the pad. A conductive layer functioning as plug is provided in the second silicon oxide film in such a manner that one end surface thereof is in contact with the pad and the other end surface thereof is connected to an interconnection layer. The surface of the first silicon oxide film is smoothly continuous to the other end surface of the pad at the same level. The conductive layer as the plug is formed in such a manner as to be smaller in size than the pad and to be in contact with the central portion of the pad.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: March 30, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yoshinori Tanaka
  • Patent number: 6710413
    Abstract: An improved borderless contact structure for salicide field effect transistors (FETs) has been achieved. Salicide FETs are formed on device areas surrounded by a shallow trench isolation (STI) using a first rapid thermal anneal to form a metal silicide on the source/drain contacts and the gate electrodes. An interlevel dielectric (ILD) layer is deposited, and borderless contact openings, extending over the STI, are etched in the ILD to the source/drain areas. When the contact openings are etched, this results in over-etched regions in the STI at the source/drain-STI interface that result in source/drain-to-substrate shorts when metal plugs are formed in the contact openings. A contact opening implant is used to dope the junction profile in the source/drain contact around the STI over-etched region to prevent electrical shorts. The second RTA is then used to concurrently reduce the silicide sheet resistance and to electrically activate the contact opening implanted dopant.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: March 23, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kong-Beng Thei, Ming-Ta Lei, Shou-Gwo Wuu
  • Patent number: 6700211
    Abstract: A memory device wherein a diode is serially connected to a programmable resistor and is in electrical communication with a buried digit line. An electrically conductive plug is electrically interposed between the digit line and a strapping layer, thereby creating a double metal scheme wherein the strapping layer is a second metal layer overlying metal wordlines. In a method of a first embodiment the strapping material is electrically connected to the digit line through a planar landing pad overlying the conductive plug. An insulative material is sloped to the planar landing pad in order to provide a surface conducive to the formation of the strapping material.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: March 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Gurtej S. Sandhu, Mike P. Violette
  • Patent number: 6693354
    Abstract: Metal nitride and metal oxynitride extrusions often form on metal silicides. These extrusions can cause short circuits and degrade processing yields. The present invention discloses a method of selectively removing such extrusions. In one embodiment, a novel wet etch comprising an oxidizing agent and a chelating agent selectively removes the extrusions from a wordline in a memory array. In another embodiment, the wet etch includes a base that adjusts the pH of the etch to selectively remove certain extrusions relative to other substances in the wordline. Accordingly, new metal silicide structures can be used to form novel wordlines and other types of integrated circuits.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: February 17, 2004
    Assignee: Micron Technology Inc.
    Inventors: Gary Chen, Li Li, Yongjun Jeff Hu
  • Publication number: 20040012090
    Abstract: The process of the present invention forms copper interconnects in a semiconductor wafer surface. During the process, initially, narrow and large features are provided in the top surface of the wafer, and then a primary copper layer is deposited by employing an electrochemical deposition process. The primary copper layer completely fills the features and forms a planar surface over the narrow feature and a non-planar surface over the large feature. By employing an electrochemical mechanical deposition process, a secondary copper layer is deposited onto the primary copper layer to form a planar copper layer over the narrow and large features. After this process step, the thickness of the planar copper layer is reduced using an electropolishing process.
    Type: Application
    Filed: March 3, 2003
    Publication date: January 22, 2004
    Inventors: Bulent M. Basol, Cyprian Uzoh
  • Patent number: 6677650
    Abstract: A process for fabricating system-on-chip devices which contain embedded DRAM along with other components such as SRAM or logic circuits is disclosed. Local interconnects, via salicides and tungsten are formed subsequent to polysilicon plugs required for the operation of the DRAM and SRAM or logic. Also disclosed are systems-on-chips MIM/MIS capacitive devices produced by the inventive process.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: January 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Mark Fischer, Jigish D. Trivedi, Charles H. Dennison, Todd R. Abbott, Raymond A. Turi
  • Patent number: 6642621
    Abstract: It is an object of the present invention to provide a semiconductor device capable of decreasing electric resistance of a lower electrode provided therein, as well as capable of accurately responding to external signals having high frequencies inputted therein. The lower electrode 7 consists of three layers such as a silicon lower electrode layer 7a made of poly-crystalline silicon, a tungsten-silicide layer 7b made of tungsten silicide as a chemical compound of tungsten and silicon, and a protection layer 7c made of poly-crystalline silicon. By constructing the semiconductor device as described above, oxidation of the tungsten-silicide layer 7b may be prevented by the protection layer 7c made of poly-crystalline silicon even when oxidation layers of an ONO (silicon oxidation) layer 11 is formed by thermal oxidation. Consequently, electric resistance of the lower electrode 7 can be decreased.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: November 4, 2003
    Assignee: Rohm Co., Ltd.
    Inventor: Hiroshi Hayashizaki
  • Patent number: 6642601
    Abstract: A fuse (50, 150, 200) with a low fusing current includes a first contact element (51, 151, 201) and a second contact element (51, 151, 201). A fusing element (53, 153, 203) is coupled between the first and second contact elements (51, 151, 201). At least a majority of the fusing element (53, 153, 203) comprises silicided material.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: November 4, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Andrew Marshall, Douglas A. Prinslow
  • Patent number: 6639261
    Abstract: An imaging device formed as a CMOS semiconductor integrated circuit includes a doped polysilicon contact line between the floating diffusion region and the gate of a source follower output transistor. The doped polysilicon contact line in the CMOS imager decreases leakage from the diffusion region into the substrate which may occur with other techniques for interconnecting the diffusion region with the source follower transistor gate. Additionally, the CMOS imager having a doped polysilicon contact between the floating diffusion region and the source follower transistor gate allows the source follower transistor to be placed closer to the floating diffusion region, thereby allowing a greater photo detection region in the same sized imager circuit.
    Type: Grant
    Filed: December 8, 1998
    Date of Patent: October 28, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 6635939
    Abstract: A diffusion barrier layer comprising TiNxBy is disclosed for protection of gate oxide layers in integrated transistors. The diffusion barrier layer can be fabricated by first forming a TiN layer and then incorporating boron into the TiN layer. The diffusion barrier layer can also be fabricated by forming a TiNxBy layer using a TDMAT process including boron. The diffusion barrier layer can also be fabricated by forming a TiNxBy layer using a CVD process. The diffusion barrier layer is of particular utility in conjunction with tungsten or tungsten silicide conductive layers formed by CVD.
    Type: Grant
    Filed: August 24, 1999
    Date of Patent: October 21, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Vishnu K. Agarwal, Gurtej S. Sandhu
  • Publication number: 20030189253
    Abstract: The invention encompasses stacked semiconductor devices including gate stacks, wordlines, PROMs, conductive interconnecting lines, and methods for forming such structures. In one aspect, the invention includes a method of forming a conductive line comprising: a) forming a polysilicon layer; forming a silicide layer against the polysilicon layer; b) providing a conductivity-enhancing impurity within the silicide layer; and c) providing the polysilicon layer and the silicide layer into a conductive line shape. In another aspect, the invention includes a programmable-read-only-memory device comprising: a) a first dielectric layer over a substrate; b) a floating gate over the first dielectric layer; c) a second dielectric layer over the floating gate; d) a conductive line over the second dielectric layer; and e) a metal-silicide layer over the conductive line, the metal-silicide layer comprising a Group III dopant or a Group V dopant.
    Type: Application
    Filed: June 4, 2001
    Publication date: October 9, 2003
    Inventors: Klaus Florian Schuegraf, Randhir P.S. Thakur
  • Patent number: 6605490
    Abstract: A semiconductor device having a pad for electrical connection provided on a semiconductor substrate, a first insulating film with which a surface of the semiconductor substrate is coated and having an opening to which the pad is exposed, a conductive film joined to the pad on a bottom surface of the opening of the first insulating film and extending to a surface of the first insulating film outside the opening, a second insulating film with which the conductive film is coated and having an opening to which a part of the conductive film is exposed, and a connecting member arranged so as to be joined to the conductive film inside the opening of the second insulating film.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: August 12, 2003
    Assignee: Rohm Co., Ltd.
    Inventor: Kazutaka Shibata
  • Publication number: 20030132521
    Abstract: A method for forming within a substrate employed within a microelectronics fabrication an electrical interconnection cross-over bridging between conductive regions separated by non-conductive regions formed within the substrate. There is formed over a substrate provided with conductive and non-conductive regions a blanket dielectric layer and a blanket polysilicon layer. After patterning the polysilicon and dielectric layers. A portion of the dielectric layer at the periphery of the polysilicon layer is etched away, leaving a gap between the polysilicon patterned layer and the underlying substrate contact region. There is formed over the substrate a layer of refractory metal and after rapid thermal annealing, there is formed a surface layer of refractory metal silicide over the surfaces of the polysilicon layer and within the gap between the polysilicon layer and the substrate, completing the electrical connection.
    Type: Application
    Filed: November 12, 2002
    Publication date: July 17, 2003
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Shih-der Tseng, Kuo-Ho Jao
  • Patent number: 6573571
    Abstract: The present invention relates to a semiconductor structure including metal nitride and metal silicide, where a metal silicide layer is formed upon an active area that is part of a junction in order to facilitate further miniaturization that is demanded and dictated by the need for smaller devices. A single PECVD process makes three distinct depositions. First, a metal silicide forms by the reaction: MHal+Si+H2MSix+HHal, where M represents a metal and Hal represents a preferred halogen or the like. Second, a metal nitride forms upon areas not containing Si by the reaction: MHal+N2+H2MN+HHal. Third, a metal nitride forms upon areas of evolving metal silicide due to a diffusion barrier effect that makes formation of the metal silicide self limiting. Ultimately, a metal nitride layer will be uniformly disposed in a substantially uniform composition covering all underlying structures upon a semiconductor substrate.
    Type: Grant
    Filed: December 3, 2001
    Date of Patent: June 3, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Weimin Li
  • Patent number: 6566754
    Abstract: A method of manufacturing a semiconductor device having a polycrystalline semiconductor layer includes the steps of: preparing a base substrate; forming a first semiconductor layer on a surface of the base substrate; forming a first polycrystalline semiconductor layer by applying an energy beam to the first semiconductor layer; etching a surface layer of the first polycrystalline semiconductor layer; and after the etching process, forming a second semiconductor layer on a surface of the first polycrystalline semiconductor layer without exposing the surface of the first polycrystalline semiconductor layer to an atmospheric air. The final polycrystalline semiconductor layer has a high film quality.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: May 20, 2003
    Assignee: Fujitsu Limited
    Inventors: Akito Hara, Kuninori Kitahara, Satoshi Murakami
  • Patent number: 6555887
    Abstract: A semiconductor device with a polycide interconnection including a refractory metal silicide film improved in adherence with an interlayer insulation film, and a method of fabricating such a semiconductor device are provided. The local impurity concentration of a tungsten silicide film in the proximity of the interface between an interlayer oxide film and the tungsten silicide film is set to 5×1019 atms/cm3-2×1022 atms/cm3.
    Type: Grant
    Filed: August 5, 1999
    Date of Patent: April 29, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takeshi Kitani, Takeru Matsuoka, Masayoshi Shirahata
  • Patent number: 6541866
    Abstract: Nickel silicidation of a gate electrode is controlled using a cobalt barrier layer. Embodiments include forming a gate electrode structure comprising a lower polycrystalline silicon layer, a layer of cobalt thereon and an upper polycrystalline silicon layer on the cobalt layer, depositing a layer of nickel and silicidizing, whereby the upper polycrystalline silicon layer is converted to nickel suicide and a cobalt silicide barrier layer is formed preventing nickel from reacting with the lower polycrystalline silicon layer.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jacques J. Bertrand, Christy Mei-Chu Woo, Minh Van Ngo, George J. Kluth
  • Patent number: 6541865
    Abstract: A novel dielectric composition is provided that is useful in the manufacture of electronic devices such as integrated circuit devices and integrated circuit packaging devices. The dielectric composition is prepared by crosslinking a thermally decomposable porogen to a host polymer via a coupling agent, followed by heating to a temperature suitable to decompose the porogen. The porous materials that result have dielectric constants less than about 3.0, with some materials having dielectric constants less than about 2.5. Integrated circuit devices, integrated circuit packaging devices, and methods of manufacture are provided as well.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: April 1, 2003
    Assignee: International Business Machines Corporation
    Inventors: Craig Jon Hawker, James L. Hedrick, Robert D. Miller, Willi Volksen
  • Publication number: 20030057554
    Abstract: Metal nitride and metal oxynitride extrusions often form on metal silicides. These extrusions can cause short circuits and degrade processing yields. The present invention discloses a method of selectively removing such extrusions. In one embodiment, a novel wet etch comprising an oxidizing agent and a chelating agent selectively removes the extrusions from a wordline in a memory array. In another embodiment, the wet etch includes a base that adjusts the pH of the etch to selectively remove certain extrusions relative to other substances in the wordline. Accordingly, new metal silicide structures can be used to form novel wordlines and other types of integrated circuits.
    Type: Application
    Filed: August 30, 2002
    Publication date: March 27, 2003
    Inventors: Gary Chen, Li Li, Yongjun Jeff Hu
  • Patent number: 6534867
    Abstract: A semiconductor device comprises: a semiconductor substrate; a plurality of first diffusion layers having a low impurity density, the first diffusion layers being formed on the surface of the semiconductor substrate; a plurality of second diffusion layers having a high impurity density, the second diffusion layers being formed on the surface of the semiconductor substrate; a plurality of first contacts, each of which contacts the first diffusion layers and each of which is formed of a semiconductor; and a plurality of second contacts, each of which contacts the second diffusion layers and each of which is formed of a metal.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: March 18, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Eiji Kamiya, Toshitake Yaegashi, Kazuhiro Shimizu, Riichiro Shirota, Yuji Takeuchi, Norihisa Arai
  • Patent number: 6521529
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented, after silicidation and removal of any unreacted nickel, by treating the exposed surfaces of the silicon nitride sidewall spacers with a HDP plasma to oxidize nickel silicide thereon forming a surface layer comprising silicoin oxide and silicon oxynitride. Embodiments include treating the silicon nitride sidewall spacers with a HDP plasma to form a surface silicon oxide/silicon oxynitride region having a thickness of about 40 Å to about 50 Å.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: February 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Ercan Adem, Robert A. Huertas
  • Patent number: 6522002
    Abstract: In a semiconductor device, a CoSi2 film is interposed between a pluglike contact and a barrier metal film as a silicide film. Consequently, excess reaction can be suppressed on a Ti/polysilicon interface between the pluglike contact or a pluglike local wire and the barrier metal film for stably lowering contact resistance.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: February 18, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Hiroki Shinkawata
  • Patent number: 6514859
    Abstract: A method of forming a self-aligned silicide (salicide) with a double gate silicide. The method improves transistor speed by lowering the leakage current in the source and drain areas and lowering the polysilicon sheet resistance of the gate. As a result of one embodiment of the present method, a silicide is formed over the gate area which is advantageously thicker than silicide formations over the source and drain areas.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeff Erhardt, Eric Paton
  • Patent number: 6512299
    Abstract: This invention provides a semiconductor device comprising gate insulating films 13, 21 formed on the main surface of a silicon substrate 11; gate electrodes 14, 22 consisting of polycrystalline silicon; and a high-density doped layer 17, wherein a part of the side of the gate electrode 22 is electrically connected with the high-density doped layer via a metal silicide layer 23.
    Type: Grant
    Filed: September 9, 1998
    Date of Patent: January 28, 2003
    Assignee: NEC Corporation
    Inventor: Kenji Noda
  • Patent number: 6509648
    Abstract: A method of manufacturing a semiconductor device is obtained which is capable of evading generation of a short circuit between wirings in an upper wiring layer even if a part of an upper surface of an FSG film is exposed by variations in a production step. After a USG film (4) is deposited to a thickness of 1 Hm over an entire surface of an FSG film (3), the USG film (4) is polished and removed by a thickness of 900 nm from an upper surface thereof by the CMP method. At this time, part of an upper surface of the FSG film (3) is exposed by variations in a production step. Next, the surface of the interlayer dielectric film (50) is cleaned with a cleaning liquid whose etching rate to the FSG film (3) and etching rate to the USG film (5) are substantially the same. Such a cleaning liquid may be, for example, an ammonia hydrogen peroxide mixture of NH4OH:H2O2:H2O=1:1:20. The structure shown in FIG.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: January 21, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Noboru Morimoto, Masazumi Matsuura, Kinya Goto
  • Patent number: 6504217
    Abstract: A low-concentration impurity region and a high-concentration impurity region are formed respectively near the lower surface and the upper surface of an undoped polysilicon film by a first and second ion-implanations. A refractory metal film of tungsten or the like is formed on the polysilicon film. The impurities are thermally diffused to form shallow-junctions of source/drain having low-concentration impurities. Lead-out electrodes having a high-impurity concentration can be formed without impeding formation of the source and drain. The refractory metal film is converted into a silicide with the resistance at the interface between the polysilicon film and the silicide kept lowered.
    Type: Grant
    Filed: January 12, 2000
    Date of Patent: January 7, 2003
    Assignee: United Microelectronics Corporation
    Inventor: Wataru Yokozeki
  • Patent number: 6476489
    Abstract: A semiconductor device adopting an interlayer contact structure between upper and lower conductive layers and a method of manufacturing the semiconductor device adopting the structure are provided. The lower conductive layer includes a first conductive layer and a first silicide layer stacked together. The upper conductive layer includes a second conductive layer doped with impurities and a second silicide layer stacked together. In the interlayer contact structure, the first and second conductive layers are in direct contact with each other. This decreases the contact resistance between the two conductive layers and improves the electrical properties of the device.
    Type: Grant
    Filed: February 26, 1999
    Date of Patent: November 5, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-young Yoo, Dae-hong Ko, Nae-in Lee, Young-wook Park
  • Patent number: 6477031
    Abstract: An electronic component for a high frequency signal having a good characteristics and a fabrication method thereof at a high yield are disclosed. The electronic component according to the present invention includes a conductive pattern, which works as a certain circuit element such as an inductor, formed on an insulating substrate. The conductive pattern has a dual structure including a first conductive pattern which is formed by means of the photolithography technique and a second conductive pattern entirely covering the first conductive pattern which is formed by means of an electroless plating method.
    Type: Grant
    Filed: September 13, 2000
    Date of Patent: November 5, 2002
    Assignee: TDK Corporation
    Inventor: Katsuhiko Hayashi
  • Patent number: 6458669
    Abstract: An integrated circuit includes a field plated resistor having enhanced area thereover for routing metal conductors, formed in the same layer of metal as forms contacts to the resistor, is fabricated by a sequence of processing steps. A resistor having a resistor body and a contact region at each end thereof is formed in an active region of a semiconductor substrate. A first layer of insulative material is formed over the resistor and a window is created through the first layer of insulative material to the resistor body to form a first contact region. A layer of polysilicon is formed over the first insulative layer to define a field plate, the polysilicon field plate being contiguous with the first contact region of the resistor and extending over the resistor body to substantially to the other contact region, as layout, design, and fabrication rules permit. A second insulative layer is formed over the polysilicon layer.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: October 1, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventor: Thomas J. Krutsick
  • Patent number: 6455935
    Abstract: Disclosed are structures and processes which are related to asymmetric, self-aligned silicidation in the fabrication of integrated circuits. A pre-anneal contact stack includes a silicon substrate, a metal source layer such as titanium-rich titanium nitride (TiNx), and a silicon layer. The metal nitride layer is deposited on the substrate by sputtering a target metal reactively in nitrogen and argon ambient. A N:Ar ratio is selected to deposit a uniform distribution of the metal nitride in an unsaturated mode (x<1) over the silicon substrate. The intermediate substrate structure is sintered to form a metal silicide. The silicidation of metal asymmetrically consumes less of the underlying silicon than the overlying silicon layer. The resulting structure is a mixed metal silicide/nitride layer which has a sufficient thickness to provide low sheet resistance without excessively consuming the underlying substrate.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: September 24, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 6452273
    Abstract: A semiconductor integrated circuit device and method of manufacturing the same is presented. The device comprises a first conductive line formed on a semiconductor substrate. An insulating layer formed on the first conductive line and the semiconductor substrate has a first contact hole exposing the first conductive line. A second conductive line consisting of a polysilicon layer and a silicide layer thereon is formed on the insulating layer including the first contact hole. The polysilicon layer of the second conductive line extends from the sidewall of the first contact hole to the top of the insulating layer so as to expose the first conductive line. The silicide layer of the second conductive line is directly connected to the exposed first conductive line in the first contact hole. Contact resistance between a bit line and a word line on the device can be reduced by directly contacting a silicide layer of the word line and a silicide layer of the bit line.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: September 17, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Hun Kim, Myoung-Seob Shim
  • Patent number: 6448660
    Abstract: A semiconductor device having a pad for electrical connection provided on a semiconductor substrate, a first insulating film with which a surface of the semiconductor substrate is coated and having an opening to which the pad is exposed, a conductive film joined to the pad on a bottom surface of the opening of the first insulating film and extending to a surface of the first insulating film outside the opening, a second insulating film with which the conductive film is coated and having an opening to which a part of the conductive film is exposed, and a connecting member arranged so as to be joined to the conductive film inside the opening of the second insulating film.
    Type: Grant
    Filed: March 22, 2001
    Date of Patent: September 10, 2002
    Assignee: Rohm Co., Ltd.
    Inventor: Kazutaka Shibata
  • Patent number: 6445045
    Abstract: Methods and apparatus for forming a conductor layer utilize an implanted matrix to form C54-titanium silicide. Word line stacks formed by the methods of the invention are used in sub-0.2 micron line width applications, interconnects, and silicided source/drain regions, among other applications, and have a lower resistivity and improved thermal stability.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: September 3, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Hu
  • Patent number: 6441433
    Abstract: A transistor device formed on a semiconductor-on-insulator (SOI) substrate with a buried oxide (BOX) layer disposed thereon and an active layer disposed on the BOX layer having active regions defined by isolation trenches. The device includes a gate defining a channel interposed between a source and a drain formed within the active region of the SOI substrate. Further, the device includes a multi-thickness silicide layer formed on the main source and drain regions and source and drain extension regions wherein a portion of the multi-thickness silicide layer which is formed on the source and drain extension regions is thinner than a portion of the silicide layer which is formed on the main source and drain regions. The device further includes a second thin silicide layer formed on a polysilicon electrode of the gate.
    Type: Grant
    Filed: April 2, 2001
    Date of Patent: August 27, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William G. En, Srinath Krishnan, Dong-Hyuk Ju, Bin Yu
  • Publication number: 20020113313
    Abstract: According to various embodiments of the present invention, a bonding pad structure of a semiconductor device reduces damage caused by thermo-mechanical stress in beam lead bonding. A method of fabricating an improved bonding pad structure is also provided. A polysilicon film plate is preferably formed between a bonding pad metal layer and a dielectric layer. The polysilicon film plate absorbs external thermo-mechanical stress and improves the durability of the bonding pad in a bond pull test (BPT). The bonding between the bonding pad metal layer and the dielectric layer is also improved. Other features and advantages are also provided.
    Type: Application
    Filed: February 6, 2002
    Publication date: August 22, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Shin Kim, Tae-Gyeong Chung, Nam-Seog Kim, Woo-Dong Lee, Jin-Hyuk Lee
  • Patent number: 6423632
    Abstract: A semiconductor device and a process for forming the device includes a conductor that overlies an insulating layer. In one embodiment, the conductor includes a first conductive portion, a second conductive portion, and a third conductive portion. The second conductive portion lies between the first and third conductive portions. The first conductive portion includes a first element, and the third conductive portion includes a metal and silicon without a significant amount of the first element. In another embodiment, the conductor is a gate electrode or a capacitor electrode. The conductor includes a first conductive portion, a second conductive portion, a third conductive portion, and a fourth conductive portion. The second conductive portion lies between the first and third conductive portions and has a different composition compared to the first, third, and fourth conductive portion.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: July 23, 2002
    Assignee: Motorola, Inc.
    Inventors: Srikanth B. Samavedam, Philip J. Tobin, William J. Taylor, Jr.
  • Patent number: 6417568
    Abstract: A polycide film is selectively formed in a bonding area of a silicon substrate. A BPSG film is formed as an interlayer insulating film comprising boron. Many contact holes are made, on the polycide film, in the BPSG film. Tungsten plugs are embedded in the contact holes. A film of titanium and a titanium compound is formed between the tungsten plugs and the aluminum film, and the BPSG film and the polycide film.
    Type: Grant
    Filed: March 10, 2000
    Date of Patent: July 9, 2002
    Assignee: NEC Corporation
    Inventor: Kazutaka Otsuki
  • Publication number: 20020047204
    Abstract: It is an object of the present invention to provide a semiconductor device capable of decreasing electric resistance of a lower electrode provided therein, as well as capable of accurately responding to external signals having high frequencies inputted therein. The lower electrode 7 consists of three layers such as a silicon lower electrode layer 7a made of poly-crystalline silicon, a tungsten-silicide layer 7b made of tungsten silicide as a chemical compound of tungsten and silicon, and a protection layer 7c made of poly-crystalline silicon. By constructing the semiconductor device as described above, oxidation of the tungsten- silicide layer 7b may be prevented by the protection layer 7c made of poly-crystalline silicon even when oxidation layers of an ONO (silicon oxidation) layer 11 is formed by thermal oxidation. Consequently, electric resistance of the lower electrode 7 can be decreased.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 25, 2002
    Inventor: Hiroshi Hayashizaki
  • Patent number: 6369446
    Abstract: A first silicon oxide film is formed in such a manner as to cover source/drain regions of a transistor. A conductive pad is provided in the first silicon oxide film in such a manner that one end surface thereof is connected to each source/drain region and the other end surface thereof is exposed to the surface of the first silicon oxide film. A second silicon oxide film is formed on the first silicon oxide film and the pad. A conductive layer functioning as a plug is provided in the second silicon oxide film in such a manner that one end surface thereof is in contact with the pad and the other end surface thereof is connected to an interconnection layer. The surface of the first silicon oxide film is smoothly continuous to the other end surface of the pad at the same level. The conductive layer as the plug is formed in such a manner as to be smaller in size than the pad and to be in contact with the central portion of the pad.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: April 9, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yoshinori Tanaka
  • Publication number: 20020036353
    Abstract: The present invention provides a semiconductor device having a metal suicide layer and a method for forming the metal silicide layer, the semiconductor device having a metal silicide-semiconductor contact structure, wherein the semiconductor device includes a substrate, an insulation layer with an opening, in which a metal silicide layer is formed using a native metal silicide with a first phase and a second phase, upon which a conductive layer is formed. The second phase has a first stoichiometrical composition ratio different from a second stoichiometrical composition ratio of the first phase. A reaction between the metal silicide layer of the first phase and the silicon results in the metal silicide layer of the second phase having high phase stability and low resistance.
    Type: Application
    Filed: September 12, 2001
    Publication date: March 28, 2002
    Inventors: Won-Sang Song, Jeong-Hwan Yang, In-Sun Park, Byoung-Moon Yoon
  • Patent number: 6359339
    Abstract: The present invention provides a unique a resistor formed on a semiconductor substrate. The resistor preferably comprises a first resistor layer that includes a first metal silicide, such as tungsten silicide, and nitrogen and that is formed on the substrate. The first layer has a first thickness and a concentration of nitrogen incorporated therein. The nitrogen concentration may be varied to obtain a desired resistive value of the resistor. Thus, depending on the concentration of nitrogen, a wide range of resistive values may be achieved. The resistor further comprises a second resistor layer with a second thickness that includes a second metal silicide and that is formed on the first resistor layer. Thus, the present invention provides a metal silicide-based resistor having nitrogen incorporated therein which allows the resistance of the resistor to be tailored to specific electrical applications.
    Type: Grant
    Filed: January 10, 2000
    Date of Patent: March 19, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Richard W. Gregor, Isik C. Kizilyalli, Sailesh M. Merchant, Jaseph R. Radosevich, Pradip K. Roy
  • Patent number: 6351038
    Abstract: A semiconductor processing method of making electrical connection between an electrically conductive line and a node location includes, a) forming an electrically conductive line over a substrate, the substrate having an outwardly exposed silicon containing node location to which electrical connection is to be made, the line having an outer portion and an inner portion, the inner portion laterally extending outward from the outer portion and having an outwardly exposed portion, the inner portion having a terminus adjacent the node location, and b) electrically connecting the extending inner portion with the node location. An integrated circuit is also described. The integrated circuit includes a semiconductor substrate, a node location on the substrate, and a conductive line over the substrate which is in electrical communication with the node location. The conductive line includes an outer portion and an inner portion.
    Type: Grant
    Filed: October 20, 1999
    Date of Patent: February 26, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Monte Manning
  • Patent number: 6351037
    Abstract: A method for making interlevel contacts having low contact resistance (Rc) between patterned polycide layers is described. The method and resulting contact structure consists of depositing and conductively doping a first polysilicon layer having a first tungsten silicide (WSi2) layer. The first polysilicon/silicide (first polycide) layer is patterned to form the first polycide inter connecting conducting layer. An insulating layer is deposited over the patterned first polycide layer and contact openings are anisotropically plasma etched in the insulating layer to the underlying polycide layer. The etching is continued to remove completely the first silicide layer in the contact openings, and to etch into the first polysilicon-layer. After a brief hydrofluoric (HF) etch, a second doped polysilicon layer is deposited and patterned to form a second conducting interconnecting level over the contact openings.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: February 26, 2002
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Ing-Ruey Liaw, Meng-Jaw Cherng
  • Patent number: 6340833
    Abstract: A stable, high-value polysilicon resistor is achieved by using a silicide layer that prevents diffusion of hydrogen into the resistor. The resistor can also be integrated into a salicide process for making FETs without increasing process complexity. A polysilicon layer with a cap oxide is patterned to form FET gate electrodes and the polysilicon resistor. The lightly doped source/drains, insulating sidewall spacers, and source/drain contacts are formed for the FETs. The cap oxide is patterned to expose one end of the resistor, and the cap oxide is removed from the gate electrodes. A refractory metal is deposited and annealed to form the salicide FETs and concurrently to form a silicide on the end of the resistor. The unreacted metal is etched. An interlevel dielectric layer is deposited and contact holes with metal plugs are formed to both ends of the resistor. A metal is deposited to form the first level of metal interconnections, which also provides contacts to both ends of the resistor.
    Type: Grant
    Filed: October 23, 2000
    Date of Patent: January 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ruey-Hsin Liu, Jun-Lin Tsai, Yung-Lung Hsu
  • Publication number: 20020000660
    Abstract: Disclosed is a novel contact structure comprising an underlying layer of titanium silicide, an intermediate layer of titanium boride, and an overlying layer of polysilicon. Also disclosed is a method for forming the contact structure which comprises depositing a titanium layer in the bottom of a contact opening having oxide insulation sidewalls, forming an overlying layer of polysilicon above the titanium layer, and annealing the two layers together. The resulting contact structure is formed with fewer steps than contact structures of the prior art and without the need for additional steps to achieve uniform sidewall coverage, due to high adhesion of the overlying layer of polysilicon with oxide insulation sidewalls of the contact opening. The contact structure has low contact resistance, and provides a suitable diffusion barrier due to a high melting point.
    Type: Application
    Filed: July 30, 2001
    Publication date: January 3, 2002
    Inventors: Sujit Sharan, Varatharajan Nagabushnam