Polysilicon Laminated With Silicide Patents (Class 257/755)
  • Patent number: 6326691
    Abstract: A first contact hole for a bit line is formed in an interlayer insulating film, and a polysilicon film is formed on the inner surface of the contact hole and on the interlayer insulating film. Subsequently, the polysilicon film is subjected to isotropic dry etching using a resist as a mask, and the interlayer insulating film is subjected to RIE etching, thereby forming a second contact hole in the interlayer insulating film in a peripheral circuit region. Then, a laminated film is formed on the inner surface of the second contact hole and on the polysilicon film, and the second contact hole is filled with a filling member. The laminated film and the polysilicon film are patterned, thereby forming a bit line in a memory cell region.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: December 4, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yusuke Kohyama, Souichi Sugiura
  • Patent number: 6326664
    Abstract: A novel transistor with a low resistance ultra shallow tip region and its method of fabrication. The novel transistor of the present invention has a source/drain extension or tip comprising an ultra shallow region which extends beneath the gate electrode and a raised region.
    Type: Grant
    Filed: November 17, 1997
    Date of Patent: December 4, 2001
    Assignee: Intel Corporation
    Inventors: Robert S. Chau, Chan-Hong Chern, Chia-Hong Jan, Kevin R. Weldon, Paul A. Packan, Leopoldo D. Yau
  • Patent number: 6320260
    Abstract: A first contact hole for a bit line is formed in an interlayer insulating film, and a polysilicon film is formed on the inner surface of the contact hole and on the interlayer insulating film. Subsequently, the polysiliccon film is subjected to isotropic dry etching using a resist as a mask, and the interlayer insulating film is subjected to RIE etching, thereby forming a second contact hole in the interlayer insulating film in a peripheral circuit region. Then, a laminated film is formed on the inner surface of the second contact hole and on the polysilicon film, and the second contact hole is filled with a filling member. The laminated film and the polysilicon film are patterned, thereby forming a bit line in a memory cell region.
    Type: Grant
    Filed: August 8, 1996
    Date of Patent: November 20, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yusuke Kohyama, Souichi Sugiura
  • Publication number: 20010040261
    Abstract: The present invention relates to an integrated circuit wiring capable of reducing the contact resistance between lines and a fabricating method thereof. The wiring in accordance with the present invention includes a gate oxide film formed on the upper surface of a semiconductor device. A first line including a first silicon film pattern that is formed on an upper surface of the gate oxide film and has a certain width; and a silicide film pattern that is formed on the upper surface of the first silicon film and has a smaller width than that of the first silicon film pattern to thereby expose a certain region of the first silicon film pattern. A second line is formed to contact the silicide film pattern and the exposed certain region of the silicon film pattern.
    Type: Application
    Filed: December 7, 2000
    Publication date: November 15, 2001
    Applicant: Hyundai Electronics Industries Co., Ltd.
    Inventor: Pil-Sung Kim
  • Patent number: 6310397
    Abstract: Form a butted contact in an SRAM memory device by exposing a contact region on the surface of a doped semiconductor substrate and a conductor stack above a field oxide region on the surface of the substrate. Form an interpolysilicon silicon oxide dielectric layer over the device with an opening framing the contact region and the butt end of the conductor stack near the contact region. Form an undoped upper polysilicon layer on the surface of the SRAM device covering the dielectric layer, the contact region, and the butt end of the conductor stack and then patterned into interconnect and load resistance parts. Form a Vcc mask on the surface of the undoped upper polysilicon layer with a window framing the dielectric layer, the contact region, and the butt end of the conductor stack, leaving an exposed region of the undoped upper polysilicon layer.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: October 30, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yeong-Kong Chang, Hung-Che Liao
  • Patent number: 6285073
    Abstract: The horizontal surface area required to contact semiconductor devices, in integrated circuits fabricated with trench isolation, is minimized without degrading contact resistance by utilizing the vertical surface area of the trench sidewall. A trench isolation region (40) is formed within the semiconductor substrate (12). A doped region (74, 96) is then formed such that it abuts the trench sidewall (24). A portion (56, 110) of the trench sidewall (24), abutting the doped region (74, 96), is then exposed by forming a recess (55, 112) within the trench isolation region (40). A conductive member (66, 114, 118) is then formed such that it is electrically coupled to the doped region (74, 96) along the exposed trench sidewall, as well as along the major surface (13) of the semiconductor substrate (12), and results in the formation of a low resistance contact structure.
    Type: Grant
    Filed: May 30, 1995
    Date of Patent: September 4, 2001
    Inventors: Kent J. Cooper, Scott S. Roth
  • Patent number: 6265777
    Abstract: A semiconductor device includes a polysilicon film formed directly or indirectly on a semiconductor substrate, and a refractory metal silicide film formed on the polysilicon film. The refractory metal silicide film comprises grains of refractory metal silicide. At least a portion of the grains has a maximum grain diameter equal to or larger than at least one of a film thickness of the refractory metal silicide film and a film width of the refractory metal silicide film.
    Type: Grant
    Filed: April 26, 1999
    Date of Patent: July 24, 2001
    Assignee: NEC Corporation
    Inventor: Migaku Kobayashi
  • Patent number: 6262458
    Abstract: Methods and apparatus for forming a conductor layer utilize an implanted matrix to form C54-titanium silicide. Word line stacks formed by the methods of the invention are used in sub-0.25 micron line width applications, interconnects, and silicided source/drain regions, among other applications, and have a lower resistivity and improved thermal stability.
    Type: Grant
    Filed: February 19, 1997
    Date of Patent: July 17, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Hu
  • Patent number: 6246087
    Abstract: A memory cell structure for a semiconductor memory device and fabricating method thereof, which is suitable for DRAM memory devices of 256M or more capacity requiring a very high degree of integration, which comprises the steps of forming sequentially first and second random layers on a semiconductor substrate; patterning a first photoresist layer having a limited line width on the second random layer; patterning the second random layer using as a mask the patterned first photoresist layer; removing the first photoresist layer, and then patterning the second photoresist layer having the limited line width between the pattern of the second random layer; patterning the first random layer using as a mask the second photoresist layer which is so patterned so as to be placed between the pattern of the second random layer; and removing the second random layer and the second photoresist layer.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: June 12, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Chang-Jae Lee, Won-Suck Yang
  • Patent number: 6242806
    Abstract: An interlayer insulating film having contact holes is formed on a major surface of a semiconductor substrate. A metal silicide film is formed on the interlayer insulating film. A polycrystal silicon film extending from the inside of contact holes onto the metal silicide film is formed. A local interconnection line is constituted of the polycrystal silicon film and the metal silicide film.
    Type: Grant
    Filed: September 11, 1998
    Date of Patent: June 5, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Masahiro Ishida
  • Patent number: 6239478
    Abstract: The MOS transistor has field plates and a subarea of the gate formed from the same polysilicon layer. A gate oxide lying underneath them is produced at the beginning of the fabrication process and it therefore exhibits particularly high quality. The polysilicon in the active area is raised to the same level as the adjoining field oxide areas, resulting in a planar topology.
    Type: Grant
    Filed: June 10, 1998
    Date of Patent: May 29, 2001
    Assignee: Infineon Technologies AG
    Inventors: Martin Kerber, Udo Schwalke
  • Patent number: 6239493
    Abstract: A semiconductor device adopting an interlayer contact structure between upper and lower conductive layers and a method of manufacturing the semiconductor device adopting the structure are provided. The lower conductive layer includes a first conductive layer and a first silicide layer stacked together. The upper conductive layer includes a second conductive layer doped with impurities and a second silicide layer stacked together. In the interlayer contact structure, the first and second conductive layers are in direct contact with each other. This decreases the contact resistance between the two conductive layers and improves the electrical properties of the device.
    Type: Grant
    Filed: February 26, 1999
    Date of Patent: May 29, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-young Yoo, Dae-hong Ko, Nae-in Lee, Young-wook Park
  • Patent number: 6221760
    Abstract: A semiconductor device has a thin semi-insulating polycrystalline silicon (SIPOS) film on the surface of a silicon substrate having a diffused region therein. The SIPOS film is thermally treated at the bottom of a via-plug of an overlying metallic film to form a metallic silicide for electrically connecting the via-plug with the diffused region, whereas the SIPOS film is maintained as it is for insulation on a dielectric film. The SIPOS film protects the diffused regions against over-etching to thereby improve the junction characteristics and provide a larger process margin for contacts between the metallic interconnects and the diffused regions.
    Type: Grant
    Filed: October 20, 1997
    Date of Patent: April 24, 2001
    Assignee: NEC Corporation
    Inventor: Koji Hamada
  • Patent number: 6211557
    Abstract: A method and structure are disclosed related to tapered contact holes in VLSI and ULSI technologies. The contact hole is formed by taking advantage of two-tiered polycide lines formed with a step. The polycide lines with steps are further formed with oxide spacers. The resulting structure is then used to form contact hole in between the oxide spacers. Because the oxide spacers are used—without the need for a tightly toleranced mask—to delimit the area of the contact at the bottom of the hole, a larger area of contact is obtained in addition to the tapered edges that are formed. Polycide is chosen to be a multilayer structure comprising tungsten-silicide (WSi2) over poly-silicon (poly-Si). Next, polycide is patterned by etching with a recipe which etches the WSi2 faster than it etches the underlying poly-Si. The etching, therefore, results in a structure where the WSi2 forms a step over the poly-Si layer.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: April 3, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Jun-Cheng Ko, Erik S. Jeng
  • Patent number: 6208003
    Abstract: A semiconductor device has a semiconductor substrate and a conductive layer formed above the semiconductor substrate. The conductive layer has a silicon film, a silicide film formed on the silicon film, and a high melting-point metal film formed on the silicide film. The silicon film has a non-doped layer, which does not contain impurities, and an impurity layer which is formed on the non-doped layer and contains impurities. The silicide film is formed on the impurity layer of the silicon film.
    Type: Grant
    Filed: July 17, 1998
    Date of Patent: March 27, 2001
    Assignee: Nippon Steel Corporation
    Inventor: Hirotomo Miura
  • Patent number: 6204521
    Abstract: A semiconductor processing method of forming a conductive polysilicon line relative to a substrate includes, a) providing a line of silicon on a substrate, the line having an outer top surface and outwardly exposed opposing outer sidewall surfaces, the line ultimately comprising conductively doped polysilicon; b) masking the line outer top surface with a masking material; c) with the masking material in place, depositing a metal layer atop the substrate and over the masking material and the outwardly exposed line outer sidewall surfaces; d) annealing the line to impart a silicidation reaction between the metal and opposing silicon sidewalls to form opposing metal silicide runners extending along the line sidewalls, the masking material preventing a silicidation reaction from occurring between the metal and line outer top surface; and e) stripping the metal layer from atop the line. Such a line is preferably used as a bottom gate for a thin film transistor.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: March 20, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Monte Manning
  • Patent number: 6188116
    Abstract: A structure of a polysilicon via that includes a semiconductor substrate, a conducting layer on the substrate, a dielectric layer on the conducting layer, a polysilicon plug formed in the dielectric layer, a polysilicon layer on the polysilicon plug, and a silicide layer formed on the polysilicon layer. The polysilicon layer is electrically connected to the conducting layer through the polysilicon plug. The structure of a polysilicon via according to the invention prevents the occurrence of leakage currents in the presence of misalignment in the follow-up photolithography process.
    Type: Grant
    Filed: October 14, 1998
    Date of Patent: February 13, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Kun-Chi Lin
  • Patent number: 6188136
    Abstract: A semiconductor device includes a semiconductor substrate having a first and a second region, a first wiring layer including a lower layer having polycrystal silicon portions including impurities at a high concentration and formed over the first region of the semiconductor substrate via an insulating film. An upper layer of the first wiring layer is a metal silicide having a first film thickness. A second wiring layer includes a lower layer formed over the second region of the semiconductor substrate via an insulating film and is formed of either a non-doped polycrystal portion or a polycrystal silicon portion having a resistivity of at least 10 &OHgr;cm. An upper layer of the second wiring layer is a metal silicide portion having a second film thickness thicker than the first film thickness.
    Type: Grant
    Filed: June 18, 1997
    Date of Patent: February 13, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Asamura
  • Patent number: 6188119
    Abstract: A semiconductor device is disclosed that has a barrier metal layer between a silicon electrode and a metal electrode. For providing contacts on a charge transfer electrode made up of polysilicon, between the electrode and a shield film, which is a conductive film, without causing changes in channel potential or threshold voltage or influencing the charge transfer rate, a barrier metal layer composed of a metal silicide is provided between the shield film and the charge transfer electrode.
    Type: Grant
    Filed: February 4, 1998
    Date of Patent: February 13, 2001
    Assignee: NEC Corporation
    Inventors: Chihiro Ogawa, Yasuaki Hokari
  • Patent number: 6180996
    Abstract: An aluminum wire is connected to a P-type layer of a polydiode element through a resistive element consisting of a barrier metal film and a tungsten plug. Another aluminum wire is connected to an N-type layer of the polydiode element through another resistive element consisting of another barrier metal film and another tungsten plug. Thus, a semiconductor device including a polydiode element which is resistant to surge or contamination is provided.
    Type: Grant
    Filed: July 15, 1998
    Date of Patent: January 30, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiroshi Onoda, Masaaki Mihara, Hiroshi Takada
  • Patent number: 6175146
    Abstract: In one aspect, the invention provides a method of forming an integrated circuitry memory device. In one preferred implementation, a conductive layer is formed over both memory array areas and peripheral circuitry areas. A refractory metal layer is formed over the conductive layer to provide conductive structure in both areas. According to a preferred aspect of this implementation, the conductive layer which is formed over the memory array provides an electrical contact for a capacitor container to be formed. According to another preferred aspect of this implementation, the conductive layer formed over the peripheral circuitry area constitutes a conductive line which includes at least some of the silicide. In another preferred implementation, the invention provides a method of forming a capacitor container over a substrate. According to a preferred aspect of this implementation, a conductive layer is elevationally interposed between an upper insulating layer and a lower conductive layer over the substrate.
    Type: Grant
    Filed: November 14, 1997
    Date of Patent: January 16, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Richard H. Lane, John K. Zahurak
  • Patent number: 6169327
    Abstract: A metal interconnection structure of a semiconductor device includes a metal layer having a high surface reflectivity and an ARC layer formed on the metal layer. Here, the ARC layer has a first layer formed on the metal layer and a second layer formed on the first layer. The first layer has a good step coverage property, while the second layer has a low surface reflectivity. Preferably, the first layer is a polysilicon layer, while the second layer is a CVD-TiN layer. The polysilicon layer is formed to the thickness of 50 to 300 Å, while the CVD-TiN layer is formed to the thickness of 100 to 300 Å. Furthermore, the metal layer is made of one material selected from the group consisting of aluminum, aluminum alloy, tungsten, and copper. Preferably, the metal layer is an aluminum layer.
    Type: Grant
    Filed: June 25, 1998
    Date of Patent: January 2, 2001
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kyeong Keun Choi, Gyu Cheol Sim
  • Patent number: 6166416
    Abstract: A CMOS analog semiconductor apparatus and a fabrication method thereof are provided that are capable of selectively oxidizing a polysilicon to form a single layer having a conductive region and an insulation region of a semiconductor apparatus. The apparatus and method improve at least a step coverage problem of a semiconductor apparatus by using a simpler process. Further, the apparatus and method reduce a defective wiring and cracks to increase yield and reliability of the product. The apparatus can include a capacitor having a lower electrode formed on the field insulation layer of the semiconductor substrate, a first insulation layer formed on the field insulation layer including the lower electrode so as to expose a contact region for connecting with the lower electrode. An upper electrode is formed on an upper surface of the first insulation layer over the lower electrode except for the contact region. A resistance device is formed on the upper electrode.
    Type: Grant
    Filed: December 31, 1997
    Date of Patent: December 26, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yong Chan Kim
  • Patent number: 6160296
    Abstract: A method for use in the fabrication of semiconductor devices includes forming a titanium nitride film and depositing a silicon hard mask over the titanium nitride film. The silicon hard mask is used to pattern a titanium nitride interconnect from the titanium nitride film and the silicon hard mask is also used as a contact etch stop for forming a contact area. In forming the interconnect, the silicon hard mask is dry etched stopping selectively on and exposing portions of the titanium nitride film and the exposed portions of the titanium nitride film are etched resulting in the titanium nitride interconnect. In using the silicon hard mask as a contact etch stop, an insulating layer is deposited over the silicon hard mask and the insulating layer is etched using the silicon hard mask as an etch stop to form the contact area. The silicon hard mask is then converted to a metal silicide contact area. Interconnects formed using the method are also described.
    Type: Grant
    Filed: June 22, 1999
    Date of Patent: December 12, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Michael P. Violette, Sanh Tang, Daniel M. Smith
  • Patent number: 6147405
    Abstract: Disclosed are structures and processes which are related to asymmetric, self-aligned silicidation in the fabrication of integrated circuits. A pre-anneal contact stack includes a silicon substrate, a metal source layer such as titanium-rich titanium nitride (TiN.sub.x), and a silicon layer. The metal nitride layer is deposited on the substrate by sputtering a target metal reactively in nitrogen and argon ambient. A N:Ar ratio is selected to deposit a uniform distribution of the metal nitride in an unsaturated mode (x<1) over the silicon substrate. The intermediate substrate structure is sintered to form a metal silicide. The silicidation of metal asymmetrically consumes less of the underlying silicon than the overlying silicon layer. The resulting structure is a mixed metal silicide/nitride layer which has a sufficient thickness to provide low sheet resistance without excessively consuming the underlying substrate.
    Type: Grant
    Filed: February 19, 1998
    Date of Patent: November 14, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 6137130
    Abstract: A method of creating a capacitor over bit line structure, used for high density, DRAM designs, has been developed. The process consists of creating a straight bit line shape, connected to an underlying polysilicon contact plug structure, which in turn contacts an underlying source and drain region. A storage node contact hole is opened through insulator layers and through the straight bit line shape. After passivation of the storage node contact hole with silicon nitride spacers, a storage node structure is formed on an overlying insulator layer, as well as in the storage node contact hole, overlying and contacting another polysilicon contact plug.
    Type: Grant
    Filed: December 6, 1999
    Date of Patent: October 24, 2000
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Janmye Sung
  • Patent number: 6137176
    Abstract: A process of forming an interlayer dielectric on a semiconductor substrate including an electronic element includes:forming first silicon oxide layer by reacting a silicon compound including hydrogen with hydrogen peroxide using a chemical vapor deposition method;forming a porous second silicon oxide layer by reacting between a compound including an impurity, silicon compounds, and at least one substance selected from oxygen and compounds including oxygen using a chemical vapor deposition method; andannealing at a temperature of 300.degree. C. to 850.degree. C. to make the first and second silicon oxide layers more fine-grained. The first silicon oxide layer is formed at a temperature that is lower than that required of a BPSG film, and it has superior self-flattening characteristics in itself.
    Type: Grant
    Filed: June 25, 1998
    Date of Patent: October 24, 2000
    Assignee: Seiko Epson Corporation
    Inventors: Yukio Morozumi, Michio Asahina, Naohiro Moriya, Kazuki Matsumoto, Eiji Suzuki
  • Patent number: 6104059
    Abstract: In a non-volatile memory, memory cells have respective floating gates formed of a first polysilicon and respective control gates formed of a second polysilicon. Further, in the non-volatile memory, peripheral circuits include transistors having respective gates formed of the first polysilicon. In addition, a silicide layer is formed directly on the control gates of the memory cells and directly on the gates of the transistors.
    Type: Grant
    Filed: January 14, 1999
    Date of Patent: August 15, 2000
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Takashi Ono
  • Patent number: 6100569
    Abstract: A static random access memory (SRAM) is disclosed. The SRAM includes a shared contact. A gate oxide layer is firstly formed on a semiconductor substrate, and a polysilicon layer is then formed on the gate oxide layer. A dielectric spacer abuts surface of the polysilicon layer of the SRAM except on a top surface of the expect on a top surface of the polysilicon layer of the SRAM. Moreover, first ions of a first conductive type are implanted between the substrate. And second ions of the first conductive type are implanted into substrate to form a source/drain region of a first gate, and a second gate without the source/drain region using the dielectric spacers as a mask. The SRAM has at least three silicidation regions abutting top surface of the source/drain region, and the first and second gate, and the side wall second gate with no space is also covered a silicidation region. Finally, an inter-layer dielectric (ILD) is deposited over the substrate.
    Type: Grant
    Filed: March 19, 1999
    Date of Patent: August 8, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Wen-Kuan Yeh
  • Patent number: 6093967
    Abstract: Self-aligned silicide contacts having a height that is at least about equal to the gate height are formed by depositing silicon over active regions of the substrate, depositing a refractory metal over the silicon, and heating the silicon and the refractory metal. The deposited silicon may be amorphous silicon in which case the deposition temperature can be as low as 580.degree. C. If polysilicon is deposited, the deposition temperature has to be at least 620.degree. C.
    Type: Grant
    Filed: December 17, 1997
    Date of Patent: July 25, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Yowjuang W. Liu, Mark S. Chang, Michael K. Templeton
  • Patent number: 6091117
    Abstract: A field effect transistor is manufactured by forming an isolating structure on a semiconductor substrate to define an active area. A gate structure is formed which is insulated from a surface of the active area of the semiconductor substrate. An amorphous silicon film is formed on the gate structure, on the surface of the semiconductor substrate, and on the isolating structure. A first portion of the amorphous silicon film is converted to an epitaxial film and a second portion of the amorphous silicon film is converted to a polysilicon film. Impurities are diffused throughout the polysilicon film and into an upper surface portion of said epitaxial film. The impurity doped polysilicon film and the upper surface portion of the epitaxial film are oxidized to form oxide films and the oxide films are removed so that the epitaxial film remains at least on the active area of the semiconductor substrate. Source and drain regions of the transistor are formed in the active area of the semiconductor substrate.
    Type: Grant
    Filed: August 13, 1999
    Date of Patent: July 18, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Jun-ichi Shiozawa, Yoshitaka Tsunashima, Katsuya Okumura
  • Patent number: 6087708
    Abstract: A semiconductor integrated circuit device includes a bipolar transistor having a semiconductor layer which will have a collector region, a base region provided at the surface of the semiconductor layer, and an emitter region provided at the surface of the base region. The device includes a first silicon film for connecting an external base layer with a base electrode of the transistor, and a first silicide film produced on the surface of the first silicon film, and a second silicon film for connecting an emitter layer with an emitter electrode of the transistor and a second silicide film produced on the surface of the second silicon film.
    Type: Grant
    Filed: August 11, 1997
    Date of Patent: July 11, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tadashi Hirao
  • Patent number: 6084277
    Abstract: A lateral power metal-oxide-semiconductor field effect transistor (MOSFET) having a gate design in which the gate structure is coupled to the gate electrode through contacts at a plurality of locations. The gate electrode is disposed over the gate structure along the length of a MOSFET finger. In one embodiment, the gate electrode is coupled to the gate structure through contacts at the ends of the MOSFET finger such that there is a contact-free portion of the gate region between the contacts.
    Type: Grant
    Filed: February 18, 1999
    Date of Patent: July 4, 2000
    Assignee: Power Integrations, Inc.
    Inventors: Donald R. Disney, Alex B. Djenguerian
  • Patent number: 6072241
    Abstract: A method of manufacturing a semiconductor device having a self-aligned contact hole includes a step of forming first gate electrode structures having a high pattern density on a gate insulating film in a first area of a semiconductor substrate and second gate electrode structures having a low pattern density on the gate insulating film in a second area, a step of forming first and second insulating films having different etching characteristics over the semiconductor substrate, a step of anisotropically etching the first and second insulating films in the second area by masking the first area to form side spacers on the second gate electrode structures, a step of forming an interlayer insulating film over the semiconductor substrate, and a step of forming in a self-alignment manner an opening reaching the source/drain region in the first area, by using the second insulating film as an etching stopper. This method allows to reliably form a self-aligned contact hole even if the pattern density is high.
    Type: Grant
    Filed: March 5, 1998
    Date of Patent: June 6, 2000
    Assignee: Fujitsu Limited
    Inventor: Hideyuki Kojima
  • Patent number: 6066894
    Abstract: A low-concentration impurity region and a high-concentration impurity region are formed respectively near the lower surface and the upper surface of an undoped polysilicon film by a first and second ion-implanations. A refractory metal film of tungsten or the like is formed on the polysilicon film. The impurities are thermally diffused to form shallow-junctions of source/drain having low-concentration impurities. Lead-out electrodes having a high-impurity concentration can be formed without impeding formation of the source and drain. The refractory metal film is converted into a silicide with the resistance at the interface between the polysilicon film and the silicide kept lowered.
    Type: Grant
    Filed: January 30, 1998
    Date of Patent: May 23, 2000
    Assignee: United Microelectronics Corporation
    Inventor: Wataru Yokozeki
  • Patent number: 6064075
    Abstract: Semiconductor devices may be made by forming a silicided layer on a silicon material such as that used to form the extractor of a field emission display. The silicided layer may be self-aligned with the emitter of a field emission display. If the silicided layer is treated at a temperature above 1000.degree. C. by exposure to a nitrogen source, the silicide is resistant to subsequent chemical attack such as that involved in a buffered oxide etching process.
    Type: Grant
    Filed: November 19, 1998
    Date of Patent: May 16, 2000
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, Jr., John K. Lee, Tianhong Zhang, Behnam Moradi
  • Patent number: 6057576
    Abstract: A technique for fabricating an integrated circuit device 100 using an inverse-T tungsten gate structure 121 overlying a silicided layer 119 is provided. This technique uses steps of forming a high quality gate oxide layer 115 overlying a semiconductor substrate 111. The silicided layer 119 is defined overlying the gate oxide layer 115. The silicided layer 119 does not substantially react to this layer. The technique defines the inverse-T tungsten gate electrode layer 121 overlying the silicided layer 119. A top surface of this gate electrode may also be silicided 127 to further reduce the resistance of this device element.
    Type: Grant
    Filed: September 24, 1998
    Date of Patent: May 2, 2000
    Assignee: Mosel Vitelic, Inc.
    Inventors: Liang-Choo Hsia, Thomas Tong-Long Chang
  • Patent number: 6043552
    Abstract: In order to prevent an epitaxial layer from contamination by metal when the epitaxial layer is formed on a substrate on which a conductor film comprising a metallic film is formed, a bipolar transistor (semiconductor device) 1 has the first conductor pattern 8 comprising a high-melting metallic film or a high-melting metallic compound film formed on the substrate 4, and the second conductor pattern 9 comprising a non-metallic film formed so as to cover the first conductor pattern 8. On the substrate 4 is formed the first conductivity type base layer 10 on the semiconductor layer comprising an epitaxial layer so as to come in contact with the second conductor pattern 9. Furthermore, when manufacturing the bipolar transistor 1, the semiconductor layer as the base layer 10 is formed with the epitaxial process after the first conductor pattern 8 is covered by the second conductor pattern 9.
    Type: Grant
    Filed: November 5, 1997
    Date of Patent: March 28, 2000
    Assignee: Sony Corporation
    Inventor: Hiroyuki Miwa
  • Patent number: 6031288
    Abstract: A semiconductor device comprises a silicon substrate, an electrical wiring metal, an insulating film formed on the silicon substrate, a plurality of contact holes formed in the insulating film for connecting the silicon substrate and the electrical wiring metal to each other, and a titanium silicide film formed in the contact holes. The thickness of the titanium silicide film is 10 nm to 120 nm or, preferably, 20 nm to 84 nm. Semiconductor regions and the electrical wiring metal are connected to each other through the titanium silicide film.
    Type: Grant
    Filed: November 12, 1996
    Date of Patent: February 29, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Hiromi Todorobaru, Hideo Miura, Masayuki Suzuki, Shinji Nishihara, Shuji Ikeda, Masashi Sahara, Shinichi Ishida, Hiromi Abe, Atushi Ogishima, Hiroyuki Uchiyama, Sonoko Abe
  • Patent number: 6020641
    Abstract: A multilevel interconnection between a polycide layer and a polysilicon layer and a method of forming thereof are provided. The multilevel interconnection comprises: a first impurity-containing conductive layer formed on a semiconductor substrate; a first silicide layer, having a first region thinner than a second region, formed on the first impurity-containing conductive layer; an interlayer dielectric layer formed in other than the first region; a contact hole for exposing the first silicide layer of the first region; and a second impurity-containing conductive layer connected to the first silicide layer through the contact hole. Therefore, increases in contact resistance between conductive layers can be prevented.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: February 1, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-jae Lee, Soo-cheol Lee
  • Patent number: 5969404
    Abstract: A fusible link device disposed on a semiconductor substrate for providing discretionary electrical connections. The fusible link device of the invention includes a silicide layer and a polysilicon layer formed on the silicide layer and has a first unprogrammed resistance.
    Type: Grant
    Filed: July 16, 1997
    Date of Patent: October 19, 1999
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Mohsen Alavi
  • Patent number: 5945739
    Abstract: A multi-layered wiring structure includes a lower wiring having an upper surface, a first inter-level insulating layer having a first flat upper surface substantially coplanar with the upper surface of the lower conductive wiring and a recess contiguous to the first flat upper surface, a spin-on-glass layer filling the recess and having a second flat upper surface substantially coplanar with the first flat upper surface, a second inter-level insulating layer covering the first and second flat surfaces and the upper surface of the lower conductive wiring and an upper conductive wiring extending on the second inter-level insulating layer and passing through a contact hole of the second inter-level insulating layer so as to be held in contact with the lower conductive wiring, and the first and second flat upper surfaces are created through an etch-back using gaseous etchant equally etching the first inter-level insulating layer and the spin-on-glass layer, thereby creating smooth surface under the second inter-l
    Type: Grant
    Filed: July 15, 1997
    Date of Patent: August 31, 1999
    Assignee: NEC Corporation
    Inventor: Takashi Yajima
  • Patent number: 5945719
    Abstract: A gate oxide film is formed on an element region at the surface of a silicon substrate. A polycrystalline silicon film doped with a large amount of phosphorus is formed on the gate oxide by the CVD method. A titanium nitride layer with about 10 nm thickness is deposited on the polycrystalline film by the sputtering method. Further, a titanium silicide thin film with a 100 nm thickness is deposited on the titanium nitride layer. Furthermore, a silicon layer with about 50 nm thickness is formed on the titanium silicide thin film. Accordingly, a gate electrode is provided.
    Type: Grant
    Filed: March 19, 1998
    Date of Patent: August 31, 1999
    Assignee: NEC Corporation
    Inventor: Hiroshi Tsuda
  • Patent number: 5942800
    Abstract: A method for forming a chess-board patterned bond pad structure with stress buffered characteristics and the bond pad structure formed are disclosed. In one method, a multiplicity of field oxide regions are first formed in the surface of a silicon substrate. A conductive layer such as polycide is then deposited and formed on the substrate to form a stepped surface with a metal layer subsequently deposited on top of the conductive layer to form a bond pad. The stepped structure reproduced on the metal layer serves to distribute bonding stresses during a wire bonding process such that bond pad lift-off defects are substantially eliminated. In another method, the conductive layer is first formed into conductive gates with insulating sidewalls formed subsequently. Similarly stepped surface on a metal layer can be obtained to realize the stress buffered characteristics of the novel method.
    Type: Grant
    Filed: June 22, 1998
    Date of Patent: August 24, 1999
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ho-Yin Yiu, Lin-June Wu, Bor-Cheng Chen, Jan-Her Horng
  • Patent number: 5932907
    Abstract: A layered structure is described incorporating a noble metal silicide, a noble metal and an oxygen-rich barrier layer between the noble metal silicide and noble metal. A silicon-contributing substrate may also be present in addition to or without the noble metal silicide. The invention overcomes a problem in fabricating capacitors containing high-epsilon dielectric materials or ferroelectric memory elements containing ferroelectric material, namely that silicon diffuses through the electrode in one direction and oxygen diffuses through the electrode in the other direction during the high temperature (400-700.degree. C.) deposition and processing of the dielectric.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: August 3, 1999
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, David Edward Kotecki, Katherine Lynn Saenger
  • Patent number: 5880498
    Abstract: A semiconductor device comprising, a semiconductor substrate, a first gate insulator film formed on the semiconductor substrate, a floating gate formed on the first gate insulator film, a second insulator film formed on the floating gate, a control gate formed on the second insulator film, and a silicon film doped with nitrogen and an impurity, and interposed between the floating gate and the second gate insulator film and/or between the second gate insulator film and the control gate.
    Type: Grant
    Filed: July 16, 1997
    Date of Patent: March 9, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideyuki Kinoshita, Hiroaki Tsunoda, Hisataka Meguro
  • Patent number: 5877535
    Abstract: A semiconductor device in which mutual diffusion of doped impurities occurring through an upper silicide electrode layer is prevented. A silicide electrode layer is doped with both the same degree of p-type impurities as the concentration of p-type impurities of the lower gate electrode layer and the same degree of n-type impurities as the concentration of n-type impurities. As a result, the concentration of doped impurities of the gate electrode layer is balanced at the two sides of the interface of the pMOS side and nMOS side. Therefore, heat diffusion caused by subsequent heat treatment is prevented and the problem of mutual diffusion can be solved. The present invention is also suitable for the SALICIDE process.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: March 2, 1999
    Assignee: Sony Corporation
    Inventor: Koichi Matsumoto
  • Patent number: 5851922
    Abstract: The invention is directed to a process for forming p.sup.+ and n.sup.+ gates on a single substrate. A polycrystalline silicon or amorphous silicon layer is formed on a substrate with n-type and p-type regions formed therein and with a layer of silicon dioxide formed thereover and the structure is subjected to a low temperature anneal. A layer of metal silicide is then formed over the structure and n-type and p-type dopants are implanted into the resulting structure. A nitrogen implant is performed after the n-type dopant is implanted into the structure. The nitrogen implant reduces the amount to which the p-type dopant diffuses through the silicide layer and into the n.sup.+ gates. A dielectric material is then formed over the structure and patterned, after which the structure is subjected to additional processing steps to form gate stacks over the n-regions and the p-regions of the substrate.
    Type: Grant
    Filed: May 29, 1997
    Date of Patent: December 22, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Joze Bevk, Matthias Werner Fuertsch, George E. Georgiou, Steven James Hillenius
  • Patent number: 5844284
    Abstract: A semiconductor cell with a buried contact uses highly selective etching techniques in combination with a thin oxide etching stop to prevent damage to the buried contact during the etching process. A cavity is formed in the oxide layer between the buried contact and its adjacent interconnect polysilicon element. A self-aligning silicide process (salicide) is used to coat the interconnect polysilicon, the cavity, and the buried contact, to form a continuous electrical connection between the interconnect polysilicon and the buried contact.
    Type: Grant
    Filed: January 22, 1997
    Date of Patent: December 1, 1998
    Inventor: Ming-Hsi Liu
  • Patent number: 5834817
    Abstract: A field effect transistor comprises a semiconductor substrate having a main surface and a predetermined impurity concentration of a first conductivity type, impurity layers of a second conductivity type formed spaced apart at the main surface of the semiconductor substrate, and a shaped conductive layer serving as a gate electrode. The impurity layers constitute source.multidot.drain regions, and a region between the impurity layers defines a channel region in the main surface. The shaped conductive layer is formed on the channel region with an insulating film therebetween. The shaped conductive layer has an upper portion and a lower portion wherein the upper portion is longer than the lower portion and the length of the lower portion adjacent the insulating film is substantially equal to or shorter than the length of the channel region at the main surface. Additionally, the upper and lower portions of the shaped conductive layer are formed of the same base composition.
    Type: Grant
    Filed: December 1, 1992
    Date of Patent: November 10, 1998
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shinichi Satoh, Hiroji Ozaki, Takahisa Eimori