Multiple Metal Levels On Semiconductor, Separated By Insulating Layer (e.g., Multiple Level Metallization For Integrated Circuit) Patents (Class 257/758)
  • Patent number: 11133277
    Abstract: A semiconductor device includes a first semiconductor chip having a first bonding layer and a second semiconductor chip stacked on the first semiconductor chip and having a second bonding layer. The first bonding layer includes a first bonding pad, a plurality of first internal vias, and a first interconnection connecting the first bonding pad and the plurality of first internal vias. The second bonding layer includes a second bonding pad bonded to the first bonding pad. An upper surface of the first interconnection and an upper surface of the first bonding pad are coplanar with an upper surface of the first bonding layer. The first interconnection is electrically connected to the plurality of different first internal lines through the plurality of first internal vias.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: September 28, 2021
    Inventors: Jin Nam Kim, Tae Seong Kim, Hoon Joo Na, Kwang Jin Moon
  • Patent number: 11133265
    Abstract: An integrated fan-out package including an integrated circuit, an insulating encapsulation, and a redistribution circuit structure is provided. The integrated circuit includes an active surface, a plurality of sidewalls connected to the active surface, and a plurality of pads distributed on the active surface. The insulating encapsulation encapsulates the active surface and the sidewalls of the integrated circuit. The insulating encapsulation includes a plurality of first contact openings and a plurality of through holes, and the pads are exposed by the first contact openings. The redistribution circuit structure includes a redistribution conductive layer, wherein the redistribution conductive layer is disposed on the insulating encapsulation and is distributed in the first contact openings and the through holes. The redistribution conductive layer is electrically connected to the pads through the first contact openings. A method of fabricating the integrated fan-out package is also provided.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: September 28, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hsiang Hu, Hung-Jui Kuo, Yi-Wen Wu
  • Patent number: 11133253
    Abstract: A semiconductor device includes a plurality of middle interconnections and a plurality of middle plugs, which are disposed in an interlayer insulating layer and on a substrate. An upper insulating layer is disposed on the interlayer insulating layer. A first upper plug, a first upper interconnection, a second upper plug, and a second upper interconnection are disposed in the upper insulating layer. Each of the plurality of middle interconnections has a first thickness. The first upper interconnection has a second thickness that is greater than the first thickness. The second upper interconnection has a third thickness that is greater than the first thickness. The third thickness is twice to 100 times the first thickness. The second upper interconnection includes a material different from the second upper plug.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: September 28, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Juik Lee, Joongwon Shin, Jihoon Chang, Junghoon Han, Junwoo Lee
  • Patent number: 11127629
    Abstract: A method of fabricating a semiconductor device includes: forming a trench on an insulating layer to expose a first conductive feature disposed under the insulating layer; forming a barrier layer over the insulating layer, a sidewall of the trench, and the first conductive feature; etching a bottom of the barrier layer to expose the first conductive feature; and forming a second conductive feature over an exposed portion of the first conductive feature.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: September 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventor: Su-Horng Lin
  • Patent number: 11127684
    Abstract: A contact structure of a semiconductor device includes a gate contact in contact with a gate structure and extending through a first dielectric layer, a source/drain contact in contact with a source/drain feature and extending through the first dielectric layer, a common rail line in contact with the gate contact and the source/drain contact, and a power rail line in contact with the common rail line and electrically coupled to a ground of the semiconductor device.
    Type: Grant
    Filed: October 18, 2019
    Date of Patent: September 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chao-Hsun Wang, Wang-Jung Hsueh, Kuo-Yi Chao, Mei-Yun Wang, Ru-Gun Liu
  • Patent number: 11121072
    Abstract: A semiconductor device includes a transistor die having top and bottom die surfaces, an electrically conductive structure, and input and output pads formed at the top die surface. An isolation structure is interposed between the input and output pads of the transistor die. The isolation structure extends above the top die surface, is coupled to the conductive structure, and is connected to a common return path of the transistor die. The isolation structure may be formed from one or more bondwires and is configured to reduce mutual coupling between input and output interconnects of the semiconductor device.
    Type: Grant
    Filed: March 16, 2020
    Date of Patent: September 14, 2021
    Assignee: NXP USA, Inc.
    Inventors: Ning Zhu, Ibrahim Khalil, Jeffrey Spencer Roberts, Damon G. Holmes
  • Patent number: 11121028
    Abstract: Semiconductor devices and methods of forming are provided. In some embodiments the semiconductor device includes a substrate, and a dielectric layer over the substrate. A first conductive feature is included in the dielectric layer, the first conductive feature comprising a first number of material layers. A second conductive feature is included in the dielectric layer, the second conductive feature comprising a second number of material layers, where the second number is higher than the first number. A first electrical connector is included overlying the first conductive feature.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: September 14, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Wei Hsu, Ling-Fu Nieh, Pinlei Edmund Chu, Chi-Jen Liu, Yi-Sheng Lin, Ting-Hsun Chang, Chia-Wei Ho, Liang-Guang Chen
  • Patent number: 11113443
    Abstract: An IC structure includes first, second, third and fourth transistors on a substrate, and first and second metallization layers over the transistors. The first metallization layer has a plurality of first metal lines extending laterally along a first direction and having a first line width measured in a second direction. One or more of the first metal lines are part of a first net electrically connecting the first and second transistors. The second metallization layer has a plurality of second metal lines extending laterally along the second direction and having a second line width measured in the first direction and less than the first line width. One or more of the second metal lines are part of a second net electrically connecting the third and fourth transistors, and a total length of the second net is less than a total length of the first net.
    Type: Grant
    Filed: June 12, 2020
    Date of Patent: September 7, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kuang-Hung Chang, Yuan-Te Hou, Chung-Hsing Wang, Yung-Chin Hou
  • Patent number: 11107725
    Abstract: The present disclosure provides an interconnect structure, including a first metal line, a conductive contact over the first metal line, including a first portion, a second portion over the first portion, wherein a bottom width of the second portion is greater than a top width of the first portion, and a third portion over the second portion, wherein a bottom width of the third portion is greater than a top width of the second portion, a sacrificial bilayer, including a first sacrificial layer, wherein a first portion of the first sacrificial layer is under a coverage of a vertical projection area of the first portion of the conductive contact, and a second sacrificial layer over the first sacrificial layer, and a dielectric layer over a top surface of the second sacrificial layer.
    Type: Grant
    Filed: September 20, 2020
    Date of Patent: August 31, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Hsiang-Wei Liu, Wei-Chen Chu, Chia-Tien Wu, Tai-I Yang
  • Patent number: 11101172
    Abstract: Techniques for dielectric damage-free interconnects are provided. In one aspect, a method for forming a Cu interconnect structure includes: forming a via and trench in a dielectric over a metal line M1; depositing a first barrier layer into the via and trench; removing the first barrier layer from the via and trench bottoms using neutral beam oxidation, and removing oxidized portions of the first barrier layer such that the first barrier layer remains along only sidewalls of the via and trench; depositing Cu into the via in direct contact with the metal line M1 to form a via V1; lining the trench with a second barrier layer; and depositing Cu into the trench to form a metal line M2. The second barrier layer can instead include Mn or optionally CuMn so as to further serve as a seed layer. A Cu interconnect structure is also provided.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: August 24, 2021
    Assignee: International Business Machines Corporation
    Inventors: Koichi Motoyama, Benjamin D. Briggs, Gangadhara Raja Muthinti, Cornelius Brown Peethala, Lawrence A. Clevenger
  • Patent number: 11094443
    Abstract: An electronic component includes a first insulating layer, a high-voltage electrode formed on the first insulating layer, a low-voltage electrode formed on the first insulating layer so as to be spaced from the high-voltage electrode, and an uneven structure formed in a region between the high-voltage electrode and the low-voltage electrode along a surface of the first insulating layer.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: August 17, 2021
    Assignee: ROHM CO., LTD.
    Inventors: Bungo Tanaka, Yasushi Hamazawa
  • Patent number: 11094612
    Abstract: A semiconductor device can include a substrate that has a surface. A via structure can extend through the substrate toward the surface of the substrate, where the via structure includes an upper surface. A pad structure can be on the surface of the substrate, where the pad structure can include a lower surface having at least one protrusion that is configured to protrude toward the upper surface of the via structure.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: August 17, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-Il Choi, Kwang-Jin Moon, Byung-Lyul Park, Jin-Ho An, Atsushi Fujisaki
  • Patent number: 11088090
    Abstract: A package that includes an integrated device, a substrate coupled to the integrated device, and an encapsulation layer coupled to the substrate. The encapsulation layer encapsulates the integrated device. The substrate includes at least one dielectric layer, a plurality of interconnects located in the at least one dielectric layer, a buffer dielectric layer coupled to the at least one dielectric layer, and a buffer interconnect located at least in the buffer dielectric layer.
    Type: Grant
    Filed: February 12, 2020
    Date of Patent: August 10, 2021
    Assignee: QUALCOMM Incorporated
    Inventors: Sebastian Brunner, Kurt Wiesbauer, Horst Uwe Faulhaber, Florian Rak, Andreas Haas, Franz Tinauer, Stefan Leitinger, Gerhard Fuchs
  • Patent number: 11088077
    Abstract: Example embodiments relate to a layer structure having a diffusion barrier layer, and a method of manufacturing the same. The layer structure includes first and second material layers and a diffusion barrier layer therebetween. The diffusion barrier layer includes a nanocrystalline graphene (nc-G) layer. In the layer structure, the diffusion barrier layer may further include a non-graphene metal compound layer or a graphene layer together with the nc-G layer. One of the first and second material layers is an insulating layer, a metal layer, or a semiconductor layer, and the remaining layer may be a metal layer.
    Type: Grant
    Filed: May 4, 2020
    Date of Patent: August 10, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunjae Song, Seunggeol Nam, Yeonchoo Cho, Seongjun Park, Hyeonjin Shin, Jaeho Lee
  • Patent number: 11079620
    Abstract: Techniques for optimizing the display area of an electronic display of an article include maximizing the contiguous area of the display, on one or more surfaces of the article, on which text or images may be presented to a user, and/or to minimize the area of a border of the display that is viewable to the user. Optimization techniques may include bending portions of the display, and/or minimizing the footprint of the display border by particularly configuring the electrical connections to the display elements. These optimization techniques may be applied to rigid electronic displays, to statically-flexed displays, or to dynamically flexible displays, as well as to other rigid, statically-flexed, or dynamically flexible electronic sheets of individual electronic elements, such as lighting arrays, solar cell arrays, sensor arrays, etc.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: August 3, 2021
    Assignee: FLEXTERRA, INC.
    Inventors: Philippe Inagaki, Hjalmar Edzer Ayco Huitema
  • Patent number: 11083086
    Abstract: A printed wiring board includes a base insulating layer, a conductor layer including first and second pads, a solder resist layer covering the conductor layer and having first opening exposing the first pad and second opening exposing the second pad, a first bump including base plating layer in the first opening and top plating layer on the first base layer, and a second bump including base plating layer in the second opening and top plating layer on the base layer. The second opening has smaller diameter than the first opening, and the second bump has smaller diameter than the first bump. The first base layer has flat upper surface or first recess having depth of 20 ?m or less in upper central portion. The second base layer has flat upper surface, raised portion in upper central portion, or second recess shallower than the first recess in the upper central portion.
    Type: Grant
    Filed: February 6, 2019
    Date of Patent: August 3, 2021
    Assignee: IBIDEN CO., LTD.
    Inventor: Youhong Wu
  • Patent number: 11081783
    Abstract: Systems and methods of manufacture are disclosed for semiconductor device assemblies having a front side metallurgy portion, a substrate layer adjacent to the front side metallurgy portion, a plurality of through-silicon-vias (TSVs) in the substrate layer, metallic conductors located within at least a portion of the plurality of TSVs, and at least one conductive connection circuitry between the metallic conductors and the front side metallurgy portion. The plurality of TSVs with metallic conductors located within are configured to form an antenna structure. Selectively breakable connective circuitry is used to form and/or tune the antenna structure.
    Type: Grant
    Filed: September 18, 2018
    Date of Patent: August 3, 2021
    Assignee: Micron Technology, Inc.
    Inventor: Owen R. Fay
  • Patent number: 11075178
    Abstract: An example embodiment relates to a radiofrequency (RF) power amplifier pallet, and further relates to an electronic device that includes such a pallet. The RF power amplifier pallet may include a coupled line coupler that includes a first line segment and a second line segment that is electromagnetically coupled to the first line segment. A first end of the first line segment may be electrically connected to an output of an RF amplifying unit. The RF power amplifier pallet may further include a dielectric filled waveguide having an end section of the first dielectric substrate, an end section of the second dielectric substrate, and a plurality of metal wall segments covering the end sections of the first and second dielectric layers. The plurality of metal wall segments may be arranged spaced apart from the first line segment and electrically connected to a first end of the second line segment.
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: July 27, 2021
    Assignee: Ampleon Netherlands B.V.
    Inventors: Gutta Venkata, Iouri Volokhine
  • Patent number: 11075160
    Abstract: A semiconductor device is provided. The semiconductor device includes a first wiring and a second wiring disposed at a first metal level, a third wiring and a fourth wiring disposed at a second metal level different from the first metal level, a first via which directly connects the first wiring and the third wiring, a fifth wiring disposed at a third metal level between the first metal level and the second metal level and connected to the second wiring, and a second via which directly connects the fourth wiring and the fifth wiring.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: July 27, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Doo-Hwan Park, Seong Ho Park, Kyoung Pil Park, Tae Yong Bae, Eun-Chul Seo
  • Patent number: 11069561
    Abstract: An electronic device comprises a dielectric structure, interconnect structures extending into the dielectric structure and having uppermost vertical boundaries above uppermost vertical boundaries of the dielectric structure, an additional barrier material covering surfaces of the interconnect structures above the uppermost vertical boundaries of the dielectric structure, an isolation material overlying the additional barrier material, and at least one air gap laterally intervening between at least two of the interconnect structures laterally-neighboring one another. Each of the interconnect structures comprises a conductive material, and a barrier material intervening between the conductive material and the dielectric structure. The at least one air gap vertically extends from a lower portion of the isolation material, through the additional barrier material, and into the dielectric structure. Electronic systems and method of forming an electronic device are also described.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: July 20, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Toyonori Eto, Kuo-Chen Wang
  • Patent number: 11071192
    Abstract: In the case of a flat flexible support piece comprising an electrode arrangement, to which a high voltage can be supplied, for a dielectrically impeded plasma treatment of a surface to be treated, wherein the electrode arrangement has at least one flat electrode (3) and a dielectric layer (2) which has a support face for the surface to be treated and which is composed of flat flexible material and which electrically shields the at least one electrode (3) from the surface to be treated such that only a dielectrically impeded current flow between the at least one electrode (3) and the surface to be treated is possible when a plasma field is produced by the bias on the electrode (3) in a gas space between the electrode arrangement and the surface to be treated, simplified handling and increased safety are achieved in that the support piece has a high-voltage stage (14) for generating a high voltage, the output of said high-voltage stage being connected to the at least one electrode (3) by a connecting piece (17,
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: July 20, 2021
    Assignee: Cinogy GmbH
    Inventors: Mirko Hahnl, Karl-Otto Storck, Leonhard Trutwig, Dirk Wandke
  • Patent number: 11069618
    Abstract: A multi-layer line structure including a substrate, a lower layer Cu line located on the substrate, an upper layer Cu line located on an insulating layer including an inorganic film located on the lower layer Cu line and an organic resin film located on the inorganic film, and a via connection part located in a via connection hole running in an up-down direction through the insulating layer in an area where the lower layer Cu line and the upper layer Cu line overlap each other is provided. The via connection part includes a barrier conductive layer located on a part of the lower layer Cu line exposed to a bottom part of the via connection hole and on an inner wall of the via connection hole.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: July 20, 2021
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Hiroshi Kudo, Takamasa Takano
  • Patent number: 11049769
    Abstract: Methods and structures provide horizontal conductive lines of fine pitch and self-aligned contacts extending from them, where the contacts have at least one dimension with a more relaxed pitch. Buried hard mask materials permit self-alignment of the lines and contacts without a critical mask, such as for word-line electrode lines and word-line contacts in a memory device.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: June 29, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Fabio Pellizzer, Antonino Rigano, Roberto Somaschini
  • Patent number: 11031338
    Abstract: A semiconductor device includes a first interlayer insulating film on a substrate, a via which penetrates the first interlayer insulating film, a first etching stop film which extends along an upper surface of the first interlayer insulating film, a second interlayer insulating film on the first etching stop film, the second interlayer insulating film including a plurality of periodically arranged air gaps, a first wiring pattern in the second interlayer insulating film, the first wiring pattern penetrating the first etching stop film and is connected to the via, and a capping film which covers an upper surface of the second interlayer insulating film and an upper surface of the first wiring pattern, each of the plurality of air gaps in the second interlayer insulating film extending from the first etching stop film to the capping film.
    Type: Grant
    Filed: August 1, 2019
    Date of Patent: June 8, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Seok Han Park
  • Patent number: 11018290
    Abstract: This disclosure describes systems, methods, and apparatus for multilayer superconducting structures comprising electroplated Rhenium, where the Rhenium operates in a superconducting regime at or above 4.2 K, or above 1.8 K where specific temperatures and times of annealing have occurred. The structure can include at least a first conductive layer applied to a substrate, where the Rhenium layer is electroplated to the first layer. A third layer formed from the same or a different conductor as the first layer can be formed atop the Rhenium layer.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: May 25, 2021
    Assignees: The Regents of the University of Colorado, a body corporate, The Government of the United States of America, as represented by the Secretary of Commerce National Institute of Standards and Technology
    Inventors: Donald David, David Pappas, Xian Wu
  • Patent number: 11018256
    Abstract: The present disclosure relates to a semiconductor device including a substrate and first and second spacers on the substrate. The semiconductor device also includes a gate stack between the first and second spacers. The gate stack includes a gate dielectric layer having a first portion formed on the substrate and a second portion formed on the first and second spacers; an internal gate formed on the first and second portions of the gate dielectric layer; a ferroelectric dielectric layer formed on the internal gate and in contact with the gate dielectric layer; and a gate electrode on the ferroelectric dielectric layer.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: May 25, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Ming Lin, Sai-Hooi Yeong, Ziwei Fang, Chi On Chui, Huang-Lin Chao
  • Patent number: 11018005
    Abstract: A patterning method includes the following steps. A mask layer is formed on a material layer. A first hole is formed in the mask layer by a first photolithography process. A first mask pattern is formed in the first hole. A second hole is formed in the mask layer by a second photolithography process. A first spacer is formed on an inner wall of the second hole. A second mask pattern is formed in the second hole after the step of forming the first spacer. The first spacer surrounds the second mask pattern in the second hole. The mask layer and the first spacer are removed. The pattern of the first mask pattern and the second mask pattern are transferred to the material layer by an etching process.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: May 25, 2021
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Feng-Yi Chang, Fu-Che Lee
  • Patent number: 11011613
    Abstract: The present invention provides a flexible substrate with a high dielectric-constant film and a manufacturing method thereof. The manufacturing method comprises following steps: providing a flexible substrate; forming a polysilicon layer on the flexible substrate; coating an HfAlOx solution on the polysilicon layer and forming an HfAlOx insulating layer by baking or annealing the HfAlOx solution; forming a metal gate electrode on the HfAlOx insulating layer; and doping and activating the polysilicon layer to form a source/drain electrode. The metal gate electrode is spaced apart from the source/drain electrode by the HfAlOx insulating layer.
    Type: Grant
    Filed: February 18, 2019
    Date of Patent: May 18, 2021
    Assignee: Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd.
    Inventor: Peng Jin
  • Patent number: 11011617
    Abstract: A method is presented for reducing parasitic capacitance. The method includes forming multi-layer spacers between source/drain regions, forming a dielectric liner over the multi-layer spacers and the source/drain regions, forming gate structures adjacent the multi-layer spacers, forming a self-aligned contact cap over the gate structures, and removing a sacrificial layer of each of the multi-layer spacers to form air-gaps between the gate structures and the source/drain regions.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: May 18, 2021
    Assignee: International Business Machines Corporation
    Inventors: Choonghyun Lee, Kangguo Cheng, Heng Wu, Peng Xu
  • Patent number: 11004856
    Abstract: A semiconductor device includes a stacked transistor memory cell. The stacked transistor memory cell includes a bottom tier including a plurality of bottom transistors including at least one non-floating transistor and at least one floating transistor. The at least one floating transistor has at least one terminal being electrically disconnected from other transistors of the stacked transistor memory cell. The stacked transistor memory cell further includes a top tier including a at least one top transistor, and a cross-coupling including epitaxial region (epi) connections and gate to epi connections between the top tier and the bottom tier.
    Type: Grant
    Filed: November 12, 2019
    Date of Patent: May 11, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chen Zhang, Tenko Yamashita, Kangguo Cheng, Heng Wu
  • Patent number: 11004484
    Abstract: A memory device includes a memory cell array having a plurality of memory cell strings, and a plurality of bit lines connected to at least one of the plurality of memory cell strings; and a plurality of page buffers connected to the plurality of bit lines, wherein each of the plurality of page buffers includes a plurality of latches sharing one data transfer node and exchanging data with each other through the data transfer node; and a pass transistor setting a connection between the data transfer node and another data transfer node of another page buffer.
    Type: Grant
    Filed: July 21, 2020
    Date of Patent: May 11, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong Sung Cho, Jeung Hwan Park, Jong Min Kim, Jung Kwan Kim
  • Patent number: 11005158
    Abstract: Systems and methods are disclosed for integration of an electrically functional structure in an information handling system. An information handling system may include may include a housing including a first housing portion coupled to a second housing portion. The first housing portion may include an electrically functional structure integrated within the first housing portion. The first housing portion may also include a first layer and a structural adhesive applied to the first layer. The first housing portion may further include a second layer and a thermally conductive adhesive applied to the second layer to bond the second layer to the first layer. The first housing portion may also include a PCB layer coupled between a first PCB and a second PCB, the PCB layer bonded to the second layer, and the electrically functional structure includes the first PCB and the second PCB.
    Type: Grant
    Filed: April 27, 2020
    Date of Patent: May 11, 2021
    Assignee: Dell Products L.P.
    Inventors: Deeder M. Aurongzeb, Nicholas D. Abbatiello, Paul J. Doczy
  • Patent number: 10991512
    Abstract: A capacitor component includes a lamination portion in which first and second internal electrodes are disposed to face each other in a first direction and separated from each other by a dielectric layer, and a body comprising the lamination portion and first and second connection portions disposed on both sides of the lamination portion in a second direction, perpendicular to the first direction, and connected to the first and second internal electrodes. The first and second connection portions each include a metal layer including nickel and disposed on the lamination portion and a ceramic layer disposed on the metal layer, and an average thickness of each of the first and second internal electrodes is 0.4 ?m or less.
    Type: Grant
    Filed: November 18, 2019
    Date of Patent: April 27, 2021
    Assignee: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Chang Soo Park, Yong Park, Ki Pyo Hong, Jong Ho Lee
  • Patent number: 10991664
    Abstract: A semiconductor wafer includes first zones containing integrated circuits, each first zone including a substrate and a sealing ring at a periphery of the substrate. The first zones are separated from each other by second zones defining cutting lines or paths. The integrated circuit includes an electrically conductive fuse that extends between a first location inside the integrated circuit and a second location situated outside the integrated circuit beyond one of the cutting lines. This electrically conductive fuse includes a portion that passes through the sealing ring and another portion that straddles the adjacent cutting line. The portion of the fuse that passes through is electrically isolated from the sealing ring and from the substrate. The straddling portion is configured to be sliced, when cutting the wafer along the cutting line, so as to cause the fuse to change from an electrical on state to an electrical off state.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: April 27, 2021
    Assignee: STMicroelectronics (Rousset) SAS
    Inventor: Pascal Fornara
  • Patent number: 10985142
    Abstract: Embodiments of three-dimensional (3D) memory devices and methods for forming the 3D memory devices are disclosed. In an example, a 3D memory device includes a substrate, a first memory deck above the substrate, a first channel structure, a first inter-deck plug above and in contact with the first channel structure, a second memory deck above the first inter-deck plug, and a second channel structure above and in contact with the first inter-deck plug. The first memory deck includes a first plurality of interleaved conductor layers and dielectric layers. The first channel structure extends vertically through the first memory deck. The first inter-deck plug includes single-crystal silicon. The second memory deck includes a second plurality of interleaved conductor layers and dielectric layers. The second channel structure extends vertically through the second memory deck.
    Type: Grant
    Filed: February 8, 2020
    Date of Patent: April 20, 2021
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventor: Li Hong Xiao
  • Patent number: 10978388
    Abstract: Semiconductor devices including skip via structures and methods of forming the skip via structure include interconnection between two interconnect levels that are separated by at least one other interconnect level, i.e., skip via to connect Mx and Mx+2 interconnect levels, wherein the intervening metallization level (MX+1) is electrically isolated from the skip via. Cap layers in the metallization levels are pre-patterned to provide openings therein generally corresponding to locations of the skip via structure prior to high aspect ratio etching to form the skip via structure.
    Type: Grant
    Filed: October 8, 2018
    Date of Patent: April 13, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hari Prasad Amanapu, Prasad Bhosale, Nicholas V. LiCausi, Lars W. Liebmann, James J. McMahon, Cornelius Brown Peethala, Michael Rizzolo
  • Patent number: 10978391
    Abstract: A connection structure of a semiconductor device is provided in the present invention. The connection structure includes an interlayer dielectric, a top metal structure, and a passivation layer. The interlayer dielectric is disposed on a substrate. The top metal structure is disposed on the interlayer dielectric. The top metal structure includes a bottom portion and a top portion disposed on the bottom portion. The bottom portion includes a first sidewall, and the top portion includes a second sidewall. A slope of the first sidewall is larger than a slope of the second sidewall. The passivation layer is conformally disposed on the second sidewall, the first sidewall, and a top surface of the interlayer dielectric.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: April 13, 2021
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chen-Yi Weng, Shih-Che Huang, Ching-Li Yang, Chih-Sheng Chang
  • Patent number: 10956649
    Abstract: Embodiments of the invention include methods, systems, and computer program products for checking metal coverage in a laminate structure. Aspects of the invention include receiving, by a processor, metal shadowing rules and a semiconductor package design comprising a plurality of laminate layers, a plurality of metal power shapes, and a plurality of signal lines. Each metal power shape is mapped to one or more cells in a two-dimensional array. The processor determines, for each signal line in the semiconductor package design, whether the metal power shapes satisfy the metal shadowing rules. The processor displays a list of signal lines that do not satisfy the metal shadowing rules.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: March 23, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anson J. Call, Francesco Preda, Paul R. Walling
  • Patent number: 10957592
    Abstract: A through electrode substrate includes: a substrate including first and second surfaces respectively on a first side and a second side opposite to the first, the substrate having a through hole; and a through electrode. The through electrode has a sidewall portion along the through hole sidewall, and a first portion the first surface and connected to the sidewall portion. The through electrode substrate includes: an organic film inside the through hole; an inorganic film that at least partially covers the through electrode first portion from the first side and has an opening on the first portion; and a first wiring layer having an insulation layer to the inorganic film first side and includes an organic layer with an opening communicating with the inorganic film opening, and an electroconductive layer connected to the through electrode first portion through the inorganic film opening and the insulation layer opening.
    Type: Grant
    Filed: August 21, 2017
    Date of Patent: March 23, 2021
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventors: Shinji Maekawa, Hiroshi Kudo, Takamasa Takano, Hiroshi Mawatari, Masaaki Asano
  • Patent number: 10943822
    Abstract: The current disclosure provides a semiconductor fabrication method that defines the height of gate structures at the formation of the gate structure. A gate line-end region is formed by removing a portion of a gate structure. A resulted recess is filled with a dielectric material is chosen to have a material property suitable for a later contact formation process of forming a metal contact. A metal contact structure is formed through the recess filling dielectric layer to connect to a gate structure and/or a source/drain region.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: March 9, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Che-Liang Chung, Che-Hao Tu, Kei-Wei Chen, Chih-Wen Liu, You-Shiang Lin, Yi-Ching Liang
  • Patent number: 10943832
    Abstract: In a method of manufacturing a semiconductor device, a fin structure having a bottom portion, an intermediate portion disposed over the bottom portion and an upper portion disposed over the intermediate portion is formed. The intermediate portion is removed at a source/drain region of the fin structure, thereby forming a space between the bottom portion and the upper portion. An insulating layer is formed in the space. A source/drain contact layer is formed over the upper portion. The source/drain contact layer is separated by the insulating layer from the bottom portion of the fin structure.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: March 9, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Mark Van Dal, Gerben Doornbos
  • Patent number: 10937688
    Abstract: A method of fabricating a semiconductor package includes providing a substrate having at least one contact and forming a redistribution layer on the substrate. The formation of the redistribution layer includes forming a dielectric material layer over the substrate and performing a double exposure process to the dielectric material layer. A development process is then performed and a dual damascene opening is formed in the dielectric material layer. A seed metallic layer is formed over the dual damascene opening and over the dielectric material layer. A metal layer is formed over the seed metallic layer. A redistribution pattern is formed in the first dual damascene opening and is electrically connected with the at least one contact.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: March 2, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Zi-Jheng Liu, Chen-Cheng Kuo, Hung-Jui Kuo
  • Patent number: 10935698
    Abstract: A glass wafer having a first major surface, a second major surface that is parallel to and opposite of the first major surface, a thickness between the first major surface and the second major surface, and an annular edge portion that extends from an outermost diameter of the glass wafer toward the geometrical center of the glass wafer. The glass wafer has a diameter from greater than or equal to 175 mm to less than or equal to 325 mm and a thickness of less than 0.350 mm. A width of the edge portion is less than 10 mm.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: March 2, 2021
    Assignee: Corning Incorporated
    Inventors: Michael Lucien Genier, John Tyler Keech, Robert Sabia
  • Patent number: 10929581
    Abstract: The accuracy of electronic design automation is increased by determining whether fill wires in a putative integrated circuit design should be effectively grounded or floating. For each signal wire in the putative design adjacent to the fill wires, a signal sensitivity value, which represents sensitivity of a given one of the plurality of signal wires to noise and timing, is determined. For each one of the fill wires, a fill sensitivity value is determined by: identifying coupling of each one of the fill wires to the adjacent signal wires; and calculating the fill sensitivity value as a combination of the signal sensitivity values of each of the adjacent signal wires for which the coupling has been identified. At least a portion of the fill wires are selectively effectively grounded based on the fill sensitivity value, to obtain a modified design.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: February 23, 2021
    Assignee: International Business Machines Corporation
    Inventors: Steven Joseph Kurtz, Ronald D. Rose, David J. Widiger
  • Patent number: 10930605
    Abstract: A device and method of manufacture is provided that utilize a dummy pad feature adjacent contact pads. The contact pads may be contact pads in an integrated fan-out package in which a molding compound is placed along sidewalls of a die and the contact pads extend over the die and the molding compound. The contact pads are electrically coupled to the die using one or more redistribution layers. The dummy pad features are electrically isolated from the contact pads. In some embodiments, the dummy pad features partially encircle the contact pads and are located in a corner region of the molding compound, a corner region of the die, and/or an interface region between an edge of the die and the molding compound.
    Type: Grant
    Filed: October 15, 2019
    Date of Patent: February 23, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chang-Chia Huang, Tsung-Shu Lin, Cheng-Chieh Hsieh, Wei-Cheng Wu
  • Patent number: 10930625
    Abstract: A method of fabricating a semiconductor package includes providing a semiconductor chip, forming a redistribution substrate, and fabricating a package including the semiconductor chip disposed on the redistribution substrate. The forming of the redistribution substrate may include forming a first insulating layer on a substrate, the first insulating layer having a first opening formed therein, forming an integrally formed first redistribution pattern in the first opening and on the first insulating layer, forming a second insulating layer on the first insulating layer to cover the first redistribution pattern, and performing a planarization process on the second insulating layer to expose the first redistribution pattern.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: February 23, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyoung Lim Suk, Seokhyun Lee
  • Patent number: 10923391
    Abstract: A semiconductor structure includes a conductive structure over a first passivation layer. The semiconductor structure further includes a second passivation layer over the conductive structure and the first passivation layer. The second passivation layer has a first oxide film extending along a top surface of the first passivation layer, sidewalls and a top surface of the conductive structure. The second passivation layer further includes a second oxide film over a top surface of the first oxide film and a top surface of the conductive structure. The second passivation layer further includes a third oxide film extending along a top surface of the second oxide film, the sidewalls and the top surface of the conductive structure.
    Type: Grant
    Filed: January 21, 2020
    Date of Patent: February 16, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Hsu Yen, Chen-Hui Yang, Yu Chuan Hsu
  • Patent number: 10923424
    Abstract: The present disclosure, in some embodiments, relates to an integrated chip. The integrated chip includes a first metal wire arranged within an inter-level dielectric (ILD) layer over a substrate. A second metal wire is arranged within the ILD layer and is laterally separated from the first metal wire by an air-gap. A dielectric layer is arranged over the first metal wire and the second metal wire. The dielectric layer has a curved surface along a top of the air-gap. The curved surface of the dielectric layer is a smooth curved surface that continuously extends between opposing sides of the air-gap. A via is disposed on and over the second metal wire.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: February 16, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-I Yang, Cheng-Chi Chuang, Yung-Chih Wang, Tien-Lu Lin
  • Patent number: 10923422
    Abstract: The semiconductor device SD1a includes a first wiring M2 and a second wiring M3. The semiconductor device includes a first conductor pattern DM, a first via V2 in contact with the first wiring M2 and the second wiring M3, and a second via DV1,DV2,DV3,DV4 in contact with the first conductor pattern DM and the second wiring M3. In plan view, the distance between the second via DV1 closest to the corner portion CI of the second wire M3 and the corner portion CI is shorter than the distance between the first via V2 and the corner portion CI, and the distance between the second vias adjacent to each other is shorter than the distance between the second via DV3 closest to the first via V2 and the first via V2.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: February 16, 2021
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Katsumi Eikyu, Fumihito Ota, Takashi Ipposhi
  • Patent number: 10910491
    Abstract: A semiconductor device includes an active layer having an active region, a source electrode, a drain electrode, a gate electrode, a source metal layer, a drain metal layer, and a source pad. The source metal layer and the drain metal layer are electrically connected to the source electrode and the drain electrode, respectively. An orthogonal projection of the drain metal layer on the active layer each forms a drain metal layer region. The source pad is electrically connected to the source metal layer. An orthogonal projection of the source pad on the active layer forms a source pad region overlapping the drain metal layer. An area of an overlapping region between the source pad region and the drain metal layer region is smaller than or equal to 40% of an area of the drain metal layer region.
    Type: Grant
    Filed: August 26, 2019
    Date of Patent: February 2, 2021
    Assignee: DELTA ELECTRONICS, INC.
    Inventors: Li-Fan Lin, Chun-Chieh Yang, Ying-Chen Liu