Including Organic Insulating Material Between Metal Levels Patents (Class 257/759)
  • Patent number: 7759247
    Abstract: This invention provides a semiconductor device and a manufacturing method thereof which can minimize deterioration of electric characteristics of the semiconductor device without increasing an etching process. In the semiconductor device of the invention, a pad electrode layer formed of a first barrier layer and an aluminum layer laminated thereon is formed on a top surface of a semiconductor substrate. A supporting substrate is further attached on the top surface of the semiconductor substrate. A second barrier layer is formed on a back surface of the semiconductor substrate and in a via hole formed from the back surface of the semiconductor substrate to the first barrier layer. Furthermore, a re-distribution layer is formed in the via hole so as to completely fill the via hole or so as not to completely fill the via hole. A ball-shaped terminal is formed on the re-distribution layer.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: July 20, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Kojiro Kameyama, Akira Suzuki, Yoshio Okayama
  • Patent number: 7755194
    Abstract: A composite ?-Ta/graded tantalum nitride/TaN barrier layer is formed in Cu interconnects with a controlled surface roughness for improved adhesion, electromigration resistance and reliability. Embodiments include lining a damascene opening, such as a dual damascene opening in a low-k interlayer dielectric, with an initial layer of TaN, forming a graded tantalum nitride layer on the initial TaN layer and then forming an ?-Ta layer on the graded TaN layer, the composite barrier layer having an average surface roughness (Ra) of about 25 ? to about 50 ?. Embodiments further include controlling the surface roughness of the composite barrier layer by varying the N2 flow rate and/or ratio of the thickness of the combined ?-Ta and graded tantalum nitride layers to the thickness of the initial TaN layer.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: July 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amit Marathe, Connie Pin-Chin Wang, Christy Mei-Chu Woo, Paul L. King
  • Patent number: 7741718
    Abstract: Apparatus are provided for routing interconnects of a dual-gate electronic device operating in a differential configuration. An electronic apparatus formed on a substrate is provided comprising a first interconnect (40, 42, 44) configured to couple to a first region of the substrate, a first gate (22, 24, 26, 28) coupled to the first interconnect and configured to receive a first differential input, a second interconnect (30, 32, 34, 36, 38) parallel to the first interconnect and configured to couple to a second region of the substrate, and a second gate (20) coupled to the second interconnect and configured to receive a second differential input. The first gate is parallel to the first interconnect, and the second gate is parallel to the second interconnect.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: June 22, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Suman K. Banerjee, Alain C. Duvalley, Olin L. Hartin, Craig Jasper, Walter Parmon
  • Patent number: 7741716
    Abstract: Integrated circuit bond pads are provided for forming wire bonds to integrated circuit package pins. Each pad uses a bond pad structure that provides room for under-pad circuitry. The under-pad circuitry can be connected to other circuitry on the integrated circuit, thereby providing efficient use of circuit real estate. The bond pad structures are formed in the dielectric stack portion of the integrated circuit using dummy bond pads and bond pad support structures. Bond pad support structures may be formed from metal in metal interconnect layers. Vias may be used to connect the bond pad support structures to each other and to the dummy bond pads. Bond pad support structures may be formed in a polysilicon layer at the bottom of the dielectric stack. A contact layer contains metal plugs that connect the polysilicon bond pad support structures to the lowermost metal-layer bond pad support structures.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: June 22, 2010
    Assignee: Altera Corporation
    Inventors: Girish Venkitachalam, Irfan Rahim, Peter John McElheny
  • Patent number: 7741224
    Abstract: A method of forming an interconnect structure for an integrated circuit, including the steps of providing a substrate and forming a dielectric stack on the substrate including an etch-stop layer, a low-k dielectric layer, and a hardmask layer. The method further includes the steps of patterning a photoresist masking layer on the dielectric stack to define a plurality of feature defining regions and plasma processing the substrate in a plasma-based reactor, The processing step includes etching a plurality of features into the hardmask layer and at least a portion of the low-k dielectric layer and performing a plasma treatment process in situ in the plasma-based reactor, where the plasma treatment process includes flowing at least one hydrocarbon into the reactor and generating a plasma, where a mass flow rate of the hydrocarbon is at least 0.1 sccm. The method also includes forming a metal conductor in the plurality of features.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: June 22, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, Laura M. Matz, Rosa A. Orozco-Teran
  • Patent number: 7741633
    Abstract: The present invention is related to a ferroelectric storage medium for ultrahigh density data storage device and a method for fabricating the same. A supercell having high anisotropy is formed by controlling crystal structure and symmetry of unit structure (supercell) of artificial lattice by using an ordered alignment of predetermined ions having orientation of (perpendicular) deposition direction. Unit atomic layers of oxides having different polarization characteristic are deposited so that the supercell itself shows electric polarization having only two, upward and downward directions as one block of supercell having single-directional polarization. Oxide artificial lattices can be formed so as to have solely 180 degree domain structure, thus a single electric domain having improved anisotropic characteristic can be formed, thereby allowing capability of ultrahigh density data storage and long term data retention.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: June 22, 2010
    Assignee: Sungkyunkwan University Foundation For Corporate Collaboration
    Inventors: Jaichan Lee, Taekjib Choi
  • Patent number: 7737561
    Abstract: A dual damascene interconnect structure having a patterned multilayer of spun-on dielectrics on a substrate is provided. The structure includes: a patterned multilayer of spun-on dielectrics on a substrate, including: a cap layer; a first non-porous via level low-k dielectric layer having thereon metal via conductors with a bottom portion and sidewalls; an etch stop layer; a first porous line level low-k dielectric layer having thereon metal line conductors with a bottom portion and sidewalls; a polish stop layer over the first porous line level low-k dielectric; a second thin non-porous via level low-k dielectric layer for coating and planarizing the line and via sidewalls; and a liner material between the metal via and line conductors and the dielectric layers. Also provided is a method of forming the dual damascene interconnect structure.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kaushik A Kumar, Kelly Malone, Christy S Tyberg
  • Patent number: 7728436
    Abstract: A method for selective deposition of self-assembled monolayers to the surface of a substrate for use as a diffusion barrier layer in interconnect structures is provided comprising the steps of depositing a first self-assembled monolayer to said surface, depositing a second self-assembled monolayer to the non-covered parts of said surface and subsequently heating said substrate to remove the first self-assembled monolayer. The method of selective deposition of self-assembled monolayers is applied for the use as diffusion barrier layers in a (dual) damascene structure for integrated circuits.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: June 1, 2010
    Assignees: IMEC, Texas Instruments Inc.
    Inventors: Caroline Whelan, Victor Sutcliffe
  • Patent number: 7723226
    Abstract: A bilayer porous low dielectric constant (low-k) interconnect structure and methods of fabricating the same are presented. A preferred embodiment having an effective dielectric constant of about 2.2 comprises a bottom deposited dielectric layer and a top deposited dielectric layer in direct contact with the former. The bottom layer and the top layer have same atomic compositions, but a higher dielectric constant value k. The bottom dielectric layer serves as an etch stop layer for the top dielectric layer, and the top dielectric layer can act as CMP stop layer. One embodiment of making the structure includes forming a bottom dielectric layer having a first porogen content and a top dielectric layer having a higher porogen content. A curing process leaves lower pore density in the bottom dielectric layer than that left in the top dielectric layer, which leads to higher dielectric value k in the bottom dielectric layer.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: May 25, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Yung-Cheng Lu, Pei-Ren Jeng, Chia-Cheng Chou, Keng-Chu Lin, Chung-Chi Ko, Tien-I Bao, Shwang-Ming Jeng
  • Patent number: 7723850
    Abstract: A method of forming air gaps within a solid structure is provided. In this method, a sacrificial material is covered by an overlayer. The sacrificial material is then removed through the overlayer to leave an air gap. Such air gaps are particularly useful as insulation between metal lines in an electronic device such as an electrical interconnect structure. Structures containing air gaps are also provided.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: May 25, 2010
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Michael K. Gallagher, Dana A. Gronbeck, Timothy G. Adams, Jeffrey M. Calvert
  • Patent number: 7723851
    Abstract: A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: May 25, 2010
    Assignee: International Business Machines Corporation
    Inventors: Douglas C. La Tulipe, Jr., Mark Todhunter Robson
  • Patent number: 7713851
    Abstract: A silicon epitaxial layer 2 is grown in vapor phase on a silicon single crystal substrate 1 manufactured by the Czochralski method, and doped with boron so as to adjust the resistivity to 0.02 ?·cm or below, oxygen precipitation nuclei 11 are formed in the silicon single crystal substrate 1, by carrying out annealing at 450° C. to 750° C., in an oxidizing atmosphere, for a duration of time allowing formation of a silicon oxide film only to as thick as 2 nm or below on the silicon epitaxial layer 2 as a result of the annealing, and thus-formed silicon oxide film 3 is etched as the first cleaning after the low-temperature annealing, using a cleaning solution. By this process, the final residual thickness of the silicon oxide film can be suppressed only to a level equivalent to native oxide film, without relying upon the hydrofluoric acid cleaning.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: May 11, 2010
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Fumitaka Kume, Tomosuke Yoshida, Ken Aihara, Ryoji Hoshi, Satoshi Tobe, Naohisa Toda, Fumio Tahara
  • Patent number: 7709956
    Abstract: A copper-topped interconnect structure allows the combination of high density design areas, which have low current requirements that can be met with tightly packed thin and narrow copper traces, and low density design areas, which have high current requirements that can be met with more widely spaced thick and wide copper traces, on the same chip.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: May 4, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Abdalla Aly Naem, Reda Razouk
  • Patent number: 7705411
    Abstract: The bow in a wafer that results from fabricating a large number of MEMS devices on the top surface of the passivation layer of the wafer so that a MEMS device is formed over each die region is reduced by forming a stress relief layer between the passivation layer and the MEMS devices.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: April 27, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Peter Smeys, Peter Johnson
  • Patent number: 7691756
    Abstract: A passivating coupling material for, on the one hand, passivating a dielectric layer in a semiconductor device, and on the other hand, for permitting or at least promoting liquid phase metal deposition thereon in a subsequent process step. In a particular example, the dielectric layer may be a porous material having a desirably decreased dielectric constant k, and the passivating coupling material provides steric shielding groups that substantially block the adsorption and uptake of ambient moisture into the porous dielectric layer. The passivating coupling materials also provides metal nucleation sides for promoting the deposition of a metal thereon in liquid phase, in comparison with metal deposition without the presence of the passivating coupling material. The use of a liquid phase metal deposition process facilitates the subsequent manufacture of the semiconductor device.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: April 6, 2010
    Assignee: NXP B.V.
    Inventors: Janos Farkas, Srdjan Kordic, Cindy Goldberg
  • Patent number: 7687917
    Abstract: In a semiconductor device, an insulating interlayer having a groove is formed on an insulating underlayer. A silicon-diffused metal layer including no metal silicide is buried in the groove. A metal diffusion barrier layer is formed on the silicon-diffused metal layer and the insulating interlayer.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: March 30, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Toshiyuki Takewaki, Tatsuya Usami, Nobuyuki Yamanishi
  • Patent number: 7683486
    Abstract: Method and apparatus are provided for routing interconnects of a dual-gate electronic device operating in a differential configuration. An electronic apparatus formed on a substrate is provided comprising a first interconnect (40, 42, 44) configured to couple to a first region of the substrate, a first gate (22, 24, 26, 28) coupled to the first interconnect and configured to receive a first differential input, a second interconnect (30, 32, 34, 36, 38) parallel to the first interconnect and configured to couple to a second region of the substrate, and a second gate (20) coupled to the second interconnect and configured to receive a second differential input. The first gate is parallel to the first interconnect, and the second gate is parallel to the second interconnect.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: March 23, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Suman K. Banerjee, Alain C. Duvallet, Craig Jasper, Olin L. Hartin, Walter Parmon
  • Patent number: 7682977
    Abstract: This invention includes methods of forming trench isolation. In one implementation, isolation trenches are provided within a semiconductor substrate. A liquid is deposited and solidified within the isolation trenches to form a solidified dielectric within the isolation trenches. The dielectric comprises carbon and silicon, and can be considered as having an elevationally outer portion and an elevationally inner portion within the isolation trenches. At least one of carbon removal from and/or oxidation of the outer portion of the solidified dielectric occurs. After such, the dielectric outer portion is etched selective to and effective to expose the dielectric inner portion. After the etching, dielectric material is deposited over the dielectric inner portion to within the isolation trenches.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Patent number: 7675179
    Abstract: The present invention provides an interconnect that can be employed in an integrated circuit. The interconnect includes a metal line located over a substrate, a dielectric layer located over the metal line, and an interconnect located in the dielectric layer, including a landed portion located over the metal line and an unlanded portion located along at least a portion of a lateral edge of the metal line. The unlanded portion is at least partially filled with a polymer, and the landed portion is substantially filled with a conductive material. A method for manufacturing the interconnect is also provided.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: March 9, 2010
    Assignee: Agere Systems Inc.
    Inventors: Ranbir Singh, Sen Sidhartha, Nace Rossi
  • Patent number: 7671473
    Abstract: There is provided a semiconductor device and method of fabricating the same that employs an insulation film of a borazine-based compound to provided enhanced contact between a material for insulation and that for interconnection, increased mechanical strength, and other improved characteristics. The semiconductor device includes a first insulation layer having a recess with a first conductor layer buried therein, an etching stopper layer formed on the first insulation layer, a second insulation layer formed on the etching stopper layer, a third insulation layer formed on the second insulation layer, and a second conductor layer buried in a recess of the second and third insulation layers. The second and third insulation layers are grown by chemical vapor deposition with a carbon-containing borazine compound used as a source material and the third insulation layer is smaller in carbon content than the second insulation layer.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: March 2, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Teruhiko Kumada, Hideharu Nobutoki, Naoki Yasuda, Kinya Goto, Masazumi Matsuura
  • Patent number: 7666712
    Abstract: A semiconductor structure comprising a substrate including a first layer comprising a first material having a first modulus of elasticity; a first structure comprising a conductor and formed within the substrate, the first structure having an upper surface; and a stress diverting structure proximate the first structure and within the first layer, the stress diverting structure providing a low mechanical stress region at the upper surface of the first structure when a physical load is applied to the first structure, wherein said low mechanical stress region comprises stress values below the stress values in areas not protected by the stress diverting structure. The stress diverting structure comprises a second material having a second modulus of elasticity less than the first modulus of elasticity, the second material selectively formed over the upper surface of the first structure for diverting mechanical stress created by the physical load applied to the first structure.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: February 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Elie Awad, Mariette A. Awad, Kai D. Feng
  • Patent number: 7656036
    Abstract: A semiconductor circuit in which low impedance characteristics required for a decoupling circuit are ensured up to a band of several hundreds of MHz or above in the situation where digital circuits are rushing into GHz age, and a semiconductor circuit exhibiting low impedance characteristics even in a band of several hundreds of MHz or above. A line element comprising a power supply line and a ground line or a ground plane arranged oppositely through a dielectric, characterized in that a dielectric covering the line element is provided.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: February 2, 2010
    Assignee: NEC Corporation
    Inventors: Takashi Nakano, Hirokazu Tohya
  • Patent number: 7649239
    Abstract: A plurality of metal interconnects incorporating dielectric spacers and a method to form such dielectric spacers are described. In one embodiment, the dielectric spacers adjacent to neighboring metal interconnects are discontiguous from one another. In another embodiment, the dielectric spacers may provide a region upon which un-landed vias may effectively land.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: January 19, 2010
    Assignee: Intel Corporation
    Inventors: Makarem A. Hussein, Boyan Boyanov
  • Publication number: 20100007025
    Abstract: An insulating-film-forming composition for a semiconductor device comprising an organic silica sol with a carbon atom content of 11 to 17 atom % and an organic solvent is disclosed. The organic silica sol comprises a hydrolysis-condensation product P1 and a hydrolysis-condensation product P2. The hydrolysis-condensation product P1 is obtained by hydrolyzing and condensing (A) a silane monomer comprising a hydrolyzable group and (B) a polycarbosilane comprising a hydrolyzable group in the presence of (C) a basic catalyst, and the hydrolysis-condensation product P2 is obtained by hydrolyzing and condensing (D) a silane monomer comprising a hydrolyzable group.
    Type: Application
    Filed: January 31, 2007
    Publication date: January 14, 2010
    Applicant: JSR CORPORATION
    Inventors: Hisashi Nakagawa, Tatsuya Yamanaka, Masahiro Akiyama, Terukazu Kokubo, Youhei Nobe
  • Patent number: 7646062
    Abstract: A semiconductor device that suppresses partial discharging to a semiconductor substrate caused by local concentration of current. The semiconductor device includes a semiconductor substrate, a gate electrode buried in the semiconductor substrate, a conductor buried in the semiconductor substrate further inward from the gate electrode, a wiring layer formed in the semiconductor substrate in connection with the conductor, and an insulation film arranged between the gate electrode and the conductor. The conductor is higher than the surface of the semiconductor substrate.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 12, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshikazu Yamaoka, Satoru Shimada
  • Patent number: 7646098
    Abstract: A multilayered circuitized substrate including a plurality of dielectric layers each comprised of a p-aramid paper impregnated with a halogen-free, low moisture absorptivity resin including an inorganic filler but not including continuous or semi-continuous fiberglass fibers as part thereof, and a first circuitized layer positioned on a first of the dielectric layers. A method of making this substrate is also provided.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: January 12, 2010
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Robert M. Japp, Voya R. Markovich, Kostas I. Papathomas, Mark D. Poliks
  • Patent number: 7645695
    Abstract: A method of manufacturing a semiconductor element, includes forming a lower metal wiring layer and an interlayer insulating film on a substrate, forming an opening through the interlayer insulating film, such that the opening is in communication with an upper surface of the lower metal wiring layer, cleaning the opening, forming a metal wiring line protecting film in the opening, such that the metal wiring line protecting film covers the lower metal wiring layer, washing the opening to remove the metal wiring line protecting film, such that a top surface of the lower metal wiring layer is exposed, and drying the substrate.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: January 12, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-hwan Oh, Hong-seong Son, Sang-min Lee, Ju-hyuck Chung
  • Patent number: 7642650
    Abstract: A semiconductor device includes a first multilayer interconnection structure formed on a substrate and a second multilayer interconnection structure formed on the first multilayer interconnection structure, wherein the first multilayer interconnection structure includes a pillar extending from a surface of the substrate and reaching at least the second multilayer interconnection structure.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: January 5, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Iwao Sugiura, Takahisa Namiki, Yoshihiro Matsuoka
  • Patent number: 7638794
    Abstract: A semiconductor device with a substrate, a first electrode on the substrate, at least one of an injection layer or a transporting layer on the first electrode, an adhesion layer on the at least one of an injection layer or a transporting layer, and a second electrode on the adhesion layer.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: December 29, 2009
    Assignee: LG Electronics Inc.
    Inventors: Jong Geun Yoon, Myung Seop Kim, Hyoung Yun Oh, Sung Tae Kim
  • Patent number: 7638356
    Abstract: An optoelectronic device and a method of fabricating a photosensitive optoelectronic device includes depositing a first organic semiconductor material on a first electrode to form a continuous first layer having protrusions, a side of the first layer opposite the first electrode having a surface area at least three times greater than an underlying lateral cross-sectional area; depositing a second organic semiconductor material directly on the first layer to form a discontinuous second layer, portions of the first layer remaining exposed; depositing a third organic semiconductor material directly on the second layer to form a discontinuous third layer, portions of at least the second layer remaining exposed; depositing a fourth organic semiconductor material on the third layer to form a continuous fourth layer, filling any exposed gaps and recesses in the first, second, and third layers; and depositing a second electrode on the fourth layer, wherein at least one of the first electrode and the second electrode
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: December 29, 2009
    Assignees: The Trustees of Princeton University, The Regents of the University of Michigan
    Inventors: Fan Yang, Stephen R. Forrest
  • Publication number: 20090309225
    Abstract: The present invention adds one or more thick layers of polymer dielectric and one or more layers of thick, wide metal lines on top of a finished semiconductor wafer, post-passivation. The thick, wide metal lines may be used for long signal paths and can also be used for power buses or power planes, clock distribution networks, critical signal, and re-distribution of I/O pads for flip chip applications. Photoresist defined electroplating, sputter/etch, or dual and triple damascene techniques are used for forming the metal lines and via fill.
    Type: Application
    Filed: August 6, 2008
    Publication date: December 17, 2009
    Applicant: MEGICA CORPORATION
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 7633142
    Abstract: An IC package is disclosed that comprises a core region disposed between upper and lower build-up layer regions. In one embodiment, the core region comprises a low modulus material. In an alternative embodiment the core region comprises a medium modulus material. In an alternative embodiment, the core material is selected based upon considerations such as it modulus, its coefficient of thermal expansion, and/or the resulting total accumulated strain. In an alternative embodiment, boundaries with respect to the softness of the core material are established be considering the reflective density in opposing conductive build-up layers above and below the core region.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: December 15, 2009
    Assignee: Intel Corporation
    Inventors: Mitul B. Modi, Patricia A. Brusso, Ruben Cadena, Carolyn R. McCormick, Sankara J. Subramanian
  • Patent number: 7633163
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: December 15, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 7626205
    Abstract: A semiconductor device and an electro-optical device that ensures a stable output are provided even when there is a change in a source-drain current in a saturated operation region of a thin film transistor due to kink effects. The thin film transistor has a multi-gate structure with a polycrystalline silicon film as an active layer, and a source-side first thin film transistor portion and a drain-side second thin film transistor portion connected in series. The first thin film transistor portion has a drain-side back gate electrode that is connected with a first front gate electrode. The second thin film transistor portion has a source-side back gate electrode that is connected with a second front gate electrode.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: December 1, 2009
    Assignee: Seiko Epson Corporation
    Inventor: Hideto Ishiguro
  • Patent number: 7622808
    Abstract: A semiconductor device includes a first interconnection layer and a interlayer insulating layer. The first interconnection layer is formed on a upper side of a substrate, and includes a first interconnection. The interlayer insulating layer is formed on the first interconnection layer, and includes a via connected with the first interconnection at one end of the via and a second interconnection connected with the via at another end of the via. The interlayer insulating layer has a relative dielectric constant lower than that of a silicon oxide film. An upper portion of the interlayer insulating layer includes a silicon-oxide film, a silicon nitride film and a silicon oxide film in order from a lower portion.
    Type: Grant
    Filed: December 23, 2005
    Date of Patent: November 24, 2009
    Assignee: NEC Corporation
    Inventors: Hiroto Ohtake, Masayoshi Tagami, Munehiro Tada, Yoshihiro Hayashi
  • Patent number: 7612453
    Abstract: A semiconductor device includes in an interconnect structure which includes a first interconnect made of a copper-containing metal, a first Cu silicide layer covering the upper portion of the first interconnect, a conductive first plug provided on the upper portion of the Cu silicide layer and connected to the first interconnect, a Cu silicide layer covering the upper portion of the first plug, a first porous MSQ film provided over the side wall from the first interconnect through the first plug and formed to cover the side wall of the first interconnect, the upper portion of the first interconnect, and the side wall of the first plug, and a first SiCN film disposed under the first porous MSQ film to contact with the lower portion of the side wall of the first interconnect and having the greater film density than the first porous MSQ film.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: November 3, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7605471
    Abstract: Semiconductor devices having a copper line layer and methods for manufacturing the same are disclosed. An illustrated semiconductor device comprises a damascene insulating layer having a contact hole, a barrier metal layer including a first ruthenium layer, a ruthenium oxide layer and a second ruthenium layer, a seed copper layer formed on the barrier metal layer, and a copper line layer made of a Cu—Ag—Au solid solution. A disclosed example method of manufacturing a semiconductor device reduces and/or prevents contact characteristic degradation of the barrier metal layer with the silicon substrate or the damascene insulating layer. In addition, by forming the copper line layer made of the Cu—Ag—Au solid solution, long term device reliability may be improved.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: October 20, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae-Suk Lee
  • Patent number: 7602048
    Abstract: The object of the present invention is to improve the interfacial adhesion between the film with low dielectric constant and protective film, without damaging the excellent dielectric, flatness and gap-filling characteristics of the organic material of low dielectric constant, and for that purpose there is provided a wiring structure with the copper film embedded in the insulation film of the wiring layer, wherein the insulation film of the wiring layer is of a multi-layered structure with the laminated methyl silsesquioxane (MSQ) film, methylated hydrogen silsesquioxane (MHSQ) film and silicon oxide film.
    Type: Grant
    Filed: May 9, 2001
    Date of Patent: October 13, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7602062
    Abstract: Multi-layered, organic build-up semiconductor package substrates have build-up layers with layers of both fibrous organic dielectric material and non-fibrous organic dielectric material. Non-fibrous dielectric material layers are positioned below the signal metal layers and fibrous dielectric material layers are positioned below the power/ground plane metal layers. The package substrate combines in a single package substrate the advantages of rigidity, strength and relatively low CTE of a fibrous material with the capacity of a non-fibrous material to achieve fine resolution signal metal lines.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: October 13, 2009
    Assignee: Altera Corporation
    Inventor: Wen-Chou Wang
  • Patent number: 7592710
    Abstract: A bond pad structure of an integrated circuit is provided. The bond pad structure includes a conductive bond pad, a first dielectric layer underlying the bond pad, and an Mtop plate located in the first dielectric layer and underlying the bond pad. The Mtop plate is a solid conductive plate and is electrically coupled to the bond pad. The bond pad structure further includes a first passivation layer over the first dielectric layer wherein the first passivation layer has at least a portion under a middle portion of the bond pad. At least part of an active circuit is located under the bond pad.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: September 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Chiu Hsia, Chih-Hsiang Yao, Tai-Chun Huang, Chih-Tang Peng
  • Patent number: 7586132
    Abstract: In one embodiment, relatively thin but wide metal bus strips overlying a high power FET are formed to conduct current to the source and drain narrow metal strips. A passivation layer is formed over the surface of the FET, and the passivation layer is etched to expose almost the entire top surface of the bus strips. A copper seed layer is then formed over the surface of the wafer, and a mask is formed to expose only the seed layer over the bus strips. The seed layer over the bus strips is then copper or gold electroplated to deposit a very thick metal layer, which effectively merges with the underlaying metal layer, to reduce on-resistance. The plating metal does not need to be passivated due to its thickness and wide line/space. Other techniques may also be used for depositing a thick metal over the exposed bus strips.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: September 8, 2009
    Assignee: Micrel, Inc.
    Inventors: Martin Alter, Richard Dolan
  • Patent number: 7582970
    Abstract: A semiconductor device includes an interlayer insulating film formed on or over a semiconductor substrate. An opening is formed in the interlayer insulating film and reaches a lower layer metal wiring conductor. A metal plug is formed by filling the opening with Cu containing metal via a barrier metal. The interlayer insulating film includes the insulating film which includes a carbon containing silicon oxide (SiOCH) film which has Si—CH2 bond in the carbon containing silicon oxide film. The proportion of Si—CH2 bond (1360 cm-1) to Si—CH3 bond (1270 cm-1) in the insulating film is in a range from 0.03 to 0.05 measured as a peak height ratio of FTIR spectrum.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: September 1, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Sadayuki Ohnishi, Kouichi Owto, Tatsuya Usami, Noboru Morita, Kouji Arita, Ryouhei Kitao, Youichi Sasaki
  • Publication number: 20090212435
    Abstract: A power semiconductor device that includes a stack of a thin metal layer and a thick metal layer over the active region thereof, and a method for the fabrication thereof.
    Type: Application
    Filed: February 25, 2008
    Publication date: August 27, 2009
    Inventors: Robert Montgomery, Hugo Burke, Philip Parsonage, Susan Johns, David Paul Jones
  • Patent number: 7579272
    Abstract: Methods of forming low-k dielectric layers for use in the manufacture of semiconductor devices and fabricating semiconductor structures using the low-k dielectric material. The low-k dielectric material comprises carbon nanostructures, like carbon nanotubes or carbon buckyballs, that are characterized by an insulating electronic state. The carbon nanostructures may be converted to the insulating electronic state either before or after a layer containing the carbon nanostructures is formed on a substrate. One approach for converting the carbon nanostructures to the insulating electronic state is fluorination.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: August 25, 2009
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, Steven John Holmes, David Vaclav Horak, Charles William Koburger, III
  • Publication number: 20090206486
    Abstract: A chip assembly includes a semiconductor chip and a wirebonded wire. The semiconductor chip includes a passivation layer over a silicon substrate and over a thin metal structure, a first thick metal layer over the passivation layer and on a contact point of the thin metal structure exposed by an opening in the passivation layer, a polymer layer over the passivation layer and on the first thick metal layer, and a second thick metal layer on the polymer layer and on the first thick metal layer exposed by an opening in the polymer layer. The first thick metal layer includes a copper layer with a thickness between 3 and 25 micrometers. The wirebonded wire is bonded to the second thick metal layer.
    Type: Application
    Filed: August 27, 2008
    Publication date: August 20, 2009
    Applicant: MEGICA CORPORATION
    Inventor: Mou-Shiung Lin
  • Patent number: 7566575
    Abstract: A method according to the present invention for producing a semiconductor-chip-mounting circuit 1 includes mainly three steps. In a first step, contacts 2 each in the form of a conical helix are formed by solder-plating the surface of connecting terminals 12 on a mounting circuit 10. In a second step, a continuity test is performed by pressing bumps 21 against the contacts 2. In a final third step, the contacts 2 pressed are melted to connect the connecting terminals 12 to the bumps 21. That is, the semiconductor chip 20 is connected to the mounting circuit 10 while maintaining a state in which they pass the continuity test, thereby significantly reducing the occurrence of defective continuity in the semiconductor-chip-mounting circuit 1.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: July 28, 2009
    Assignee: ALPS Electric Co., Ltd.
    Inventor: Shinji Murata
  • Patent number: 7563707
    Abstract: Disclosed is a method for manufacturing an organic optoelectronic device. The method comprises providing a substrate, disposing a first electrode on the substrate, disposing a metal pad on the substrate, electrically separated from the first electrode, disposing a first material over the first electrode and at least partially over the metal pad, applying a beam, wherein the beam ablates the first material in an ablation window so that the ablation window includes at least a portion of an edge of the metal pad, and disposing a second electrode over the first material and over the ablation window so that the second electrode is in electrical contact with the at least a portion of an edge of the metal pad.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: July 21, 2009
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Wen Han Lau, Ian Stephen Millard
  • Patent number: 7554168
    Abstract: A semiconductor device comprises a package having a cavity in the interior thereof, a chip having a semiconductor element, and an adhesive portion comprised of a silicone or fluorine resin and particles each having a predetermined shape. The adhesive portion fixes the chip on the bottom of the cavity.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 30, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Yoshihiko Ino
  • Patent number: RE40887
    Abstract: A new method is provided for the creation of Input/Output connection points to a semiconductor device package. An extension is applied to the conventional I/O connect points of a semiconductor device, allowing the original I/O point location to be relocated to a new point of I/O interconnect that may be in the vicinity of the original point of I/O interconnect but can also be located at a distance from this original point of I/O interconnect. Layers of passivation and polyimide are provided for proper creation and protection of the extended and relocated I/O pads. Wire bonding is used to further interconnect the relocated I/O pads.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: September 1, 2009
    Assignees: Megica Corporation, Etron Technology, Inc.
    Inventors: Mou-Shiung Lin, Tah-Kang Joseph Ting
  • Patent number: RE41369
    Abstract: A semiconductor device includes at least one semiconductor structure having a plurality of external connection portions on an upper surface, and an insulating member which is made of a resin containing reinforcing materials and arranged on a side of the semiconductor structure. An insulating film is formed on the upper surface of the semiconductor structure, except the external connection portions, and on an upper surface of the insulating member. A plurality of upper wirings each of which has a connection pad portion are located on an upper side of the insulating film and electrically connected to a corresponding one of the external connection portions of the semiconductor structure. The connection pad portion of at least one of the upper wirings is arranged above an upper surface of the insulating member.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: June 8, 2010
    Assignee: Casio Computer Co., Ltd.
    Inventor: Hiroyasu Jobetto