Of Capacitor (epo) Patents (Class 257/E21.008)
  • Publication number: 20120262835
    Abstract: A method for fabricating a dynamic random access memory (DRAM) capacitor stack is disclosed wherein the stack includes a first electrode, a dielectric layer, and a second electrode. The first electrode is formed from a conductive binary metal compound and the conductive binary metal compound is annealed in a reducing atmosphere to promote the formation of a desired crystal structure. The binary metal compound may be a metal oxide. Annealing the metal oxide (i.e. molybdenum oxide) in a reducing atmosphere may result in the formation of a first electrode material (i.e. MoO2) with a rutile-phase crystal structure. This facilitates the formation of the rutile-phase crystal structure when TiO2 is used as the dielectric layer. The rutile-phase of TiO2 has a higher k value than the other possible crystal structures of TiO2 resulting in improved performance of the DRAM capacitor.
    Type: Application
    Filed: April 12, 2011
    Publication date: October 18, 2012
    Applicant: INTERMOLECULAR, INC.
    Inventors: Karthik Ramani, Nobumichi Fuchigami, Wim Deweerd, Hanhong Chen, Hiroyuki Ode
  • Publication number: 20120256193
    Abstract: A semiconductor structure such as a power converter with an integrated capacitor is provided, and comprises a semiconductor substrate, a high-side output power device over the substrate at a first location, and a low-side output power device over the substrate at a second location adjacent to the first location. A first metal layer is over the high-side output power device and electrically coupled to the high-side output power device, and a second metal layer is over the low-side output power device and electrically coupled to the low-side output power device. A dielectric layer is over a portion of the first metal layer and a portion of the second metal layer, and a top metal layer is over the dielectric layer.
    Type: Application
    Filed: June 21, 2011
    Publication date: October 11, 2012
    Applicant: INTERSIL AMERICAS INC.
    Inventors: Francois Hebert, Stephen J. Gaul, Shea Petricek
  • Publication number: 20120256294
    Abstract: Techniques for incorporating nanotechnology into decoupling capacitor designs are provided. In one aspect, a decoupling capacitor is provided. The decoupling capacitor comprises a first electrode; an intermediate layer adjacent to the first electrode having a plurality of nanochannels therein; a conformal dielectric layer formed over the intermediate layer and lining the nanochannels; and a second electrode at least a portion of which is formed from an array of nanopillars that fill the nanochannels in the intermediate layer. Methods for fabricating the decoupling capacitor are also provided, as are semiconductor devices incorporating the decoupling capacitor design.
    Type: Application
    Filed: June 22, 2012
    Publication date: October 11, 2012
    Applicant: International Business Machines Corporation
    Inventors: Satya N. Chakravarti, Dechao Guo, Huiming Bu, Keith Kwong Hon Wong
  • Publication number: 20120248571
    Abstract: A metal-insulation-metal (MIM) device including a first metal layer, a first insulation layer, a second metal layer, and a second insulation layer is provided. The first insulation layer is disposed on the first metal layer. The second metal layer is disposed on a part of the first insulation layer. The second insulation layer is disposed on a side wall of the second metal layer and on another part of the first insulation layer. A width of the first insulation layer under the second metal layer and the second insulation layer parallel to the first metal layer is greater than a with of the second metal layer parallel to the first metal layer. A manufacture method of an MIM device is also provided.
    Type: Application
    Filed: August 2, 2011
    Publication date: October 4, 2012
    Applicant: HIMAX TECHNOLOGIES LIMITED
    Inventors: Hung-Sui Lin, Mao-Hsiung Lin
  • Publication number: 20120248522
    Abstract: A semiconductor circuit and method of fabrication is disclosed. In one embodiment, the semiconductor circuit comprises a metal-insulator-metal trench capacitor in a silicon substrate. A field effect transistor is disposed on the silicon substrate adjacent to the metal-insulator-metal trench capacitor, and a silicide region is disposed between the field effect transistor and the metal-insulator-metal trench capacitor. Electrical connectivity between the transistor and capacitor is achieved without the need for a buried strap.
    Type: Application
    Filed: March 28, 2011
    Publication date: October 4, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Puneet Goyal, Herbert Lei Ho, Pradeep Jana, Jin Liu
  • Publication number: 20120252181
    Abstract: A first capacitor recess and a wiring trench are formed through an interlayer insulating film. A lower electrode fills the first capacitor recess, and a first wiring fills the wiring trench. An etching stopper film and a via layer insulating film are disposed over the interlayer insulating film. A first via hole extends through the via layer insulating film and etching stopper film and reaches the first wiring, and a first plug fills the first via hole. A second capacitor recess is formed through the via layer insulating film, the second capacitor recess at least partially overlapping the lower electrode, as viewed in plan. The upper electrode covers the bottom and side surfaces of the second capacitor recess. A capacitor is constituted of the upper electrode, etching stopper film and lower electrode. A second wring connected to the first plug is formed over the via layer insulating film.
    Type: Application
    Filed: June 13, 2012
    Publication date: October 4, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kenichi WATANABE
  • Publication number: 20120241909
    Abstract: A process and device structure is provided for increasing capacitance density of a capacitor structure. A sandwich capacitor is provided in which a bottom silicon-containing conductor plate is formed with holes or cavities, upon which an oxide layer and a top silicon-containing layer conductor is formed. The holes or cavities provide additional capacitive area, thereby increasing capacitance per footprint area of the capacitor structure. The holes can form, for example, a line structure or a waffle-like structure in the bottom conductor plate. Etching techniques used to form the holes in the bottom conductor plate can also result in side wall tapering of the holes, thereby increasing the surface area of the silicon-containing layer defined by the holes. In addition, depth of holes can be adjusted through timed etching to further adjust capacitive area.
    Type: Application
    Filed: March 23, 2011
    Publication date: September 27, 2012
    Inventors: Tushar P. Merchant, Michael A. Sadd
  • Publication number: 20120241905
    Abstract: An integrated circuit includes a conductive substrate pick-up region in the substrate that forms a perimeter around a portion of the substrate. Conductive stripes traverse the portion of the substrate within the perimeter and are coupled to a low impedance node along with the substrate pick-up region. A capacitor has a bottom plate formed above the conductive stripes. The pick-up region and the conductive stripes absorb injected current caused by parasitic capacitance between the bottom plate of the capacitor and the substrate region thereby reducing cross-talk caused by the injected current.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 27, 2012
    Inventors: William W.K. Tang, Shouli Yan, Zhiwei Dong
  • Patent number: 8273623
    Abstract: The present invention provides an integrated high voltage capacitor, a method of manufacture therefore, and an integrated circuit chip including the same. The integrated high voltage capacitor, among other features, includes a first capacitor plate (120) located over or in a semiconductor substrate (105), and an insulator (130) located over the first capacitor plate (120), at least a portion of the insulator (130) comprising an interlevel dielectric layer (135, 138, 143, or 148). The integrated high voltage capacitor further includes capacitance uniformity structures (910) located at least partially within the insulator (130) and a second capacitor plate (160) located over the insulator (130).
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: September 25, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: David L. Larkin, Lily X. Springer, Makoto Takemura, Ashish V. Gokhale, Dhaval A. Saraiya
  • Publication number: 20120236630
    Abstract: A bypass capacitor circuit for an integrated circuit (IC) comprises one or more capacitive devices, each arranged in a segment of a seal ring area of a die, which comprises the IC. A method of providing a bypass capacitance for an IC comprises providing a semiconductor wafer device comprising a plurality of dies, each comprising an IC; arranging one or more capacitive devices in a seal ring area of at least one of the IC; dicing the semiconductor wafer device; in a test mode, for each of the one or more capacitive devices, enabling the capacitive device, determining an operability parameter value indicative of an operability of the capacitive device, and storing the operability parameter in a memory device; and in a normal operation mode, providing a bypass capacitance to the IC depending on a capacitance of one or more of the capacitive devices having an associated operability parameter value indicative of a non-defectiveness of the corresponding capacitive device.
    Type: Application
    Filed: November 30, 2009
    Publication date: September 20, 2012
    Applicant: Freescale Semiconductor, Inc.
    Inventors: Michael Priel, Leonid Fleshel, Anton Rozen
  • Publication number: 20120236467
    Abstract: In one aspect of the present invention, an ultracapacitor has a first plate, a second plate and a separator sandwiched between the first plate and the second plate. Each of the first plate and the second plate includes a substrate, first nanostructures formed on the substrate, and second nanostructures, being different from the first nanostructures, attached to the first nanostructures. The first nanostructures include carbon nanotubes (CNTs) or carbon fibers/nanofibers (CFs). The second nanostructures include nano-particles of an active material including MnO2.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 20, 2012
    Applicant: Vanderbilt University, Center for Technology Transfer and Commercialization
    Inventors: Weng Poo Kang, Supil Raina, SiYu Wei, Shao-Hua Hsu
  • Publication number: 20120235276
    Abstract: A method for fabricating a dynamic random access memory capacitor is disclosed. The method may comprise depositing a first titanium nitride (TiN) electrode; creating a first layer of titanium dioxide (TiO2) on the first TiN electrode; depositing a dielectric material on the first layer of titanium dioxide; and depositing a second TiN electrode on the dielectric material.
    Type: Application
    Filed: March 18, 2011
    Publication date: September 20, 2012
    Applicant: INTERMOLECULAR, INC.
    Inventors: Xiangxin Rui, Hanhong Chen, Edward Haywood, Sandra Malhotra, Takashi Arao, Naonori Fujiwara, Toshiyuki Hirota, Takakazu Kiyomura, Kenichi Koyanagi
  • Patent number: 8268701
    Abstract: Instead of forming a semiconductor film by bonding a bond substrate (semiconductor substrate) to a base substrate (supporting substrate) and then separating or cleaving the bond substrate, a bond substrate is separated or cleaved at a plurality of positions to form a plurality of first semiconductor films (mother islands), and then the plurality of first semiconductor films are bonded to a base substrate. Subsequently, the plurality of first semiconductor films each are partially etched, whereby one or more second semiconductor films (islands) are formed using one of the first semiconductor films and a semiconductor element is manufactured using the second semiconductor films. The plurality of first semiconductor films are bonded to the base substrate based on a layout of the second semiconductor films so as to cover at least a region in which the second semiconductor films of the semiconductor element are to be formed.
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: September 18, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20120228736
    Abstract: A method for forming a trench structure is provided for a semiconductor and/or memory device, such as an DRAM device. In one embodiment, the method for forming a trench structure includes forming a trench in a semiconductor substrate, and exposing the sidewalls of the trench to an arsenic-containing gas to adsorb an arsenic containing layer on the sidewalls of the trench. A material layer is then deposited on the sidewalls of the trench to encapsulate the arsenic-containing layer between the material layer and sidewalls of the trench.
    Type: Application
    Filed: May 18, 2012
    Publication date: September 13, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ashima B. Chakravarti, Jacob B. Dadson, Paul J. Higgins, Babar A. Khan, John J. Moore, Christopher C. Parks, Rohit S. Takalkar
  • Publication number: 20120231601
    Abstract: The present disclosure describes methods of fabricating a semiconductor device. An exemplary method includes forming a metal pattern on a substrate and etching the metal pattern using an etchant including at least an alkaline solution and an oxidant to form a metal electrode, where at least a portion of the surface of the metal electrode is uneven.
    Type: Application
    Filed: November 23, 2011
    Publication date: September 13, 2012
    Inventors: Mongsup Lee, Inseak Hwang, Byoung-Yong Gwak, Sukhun Choi, Sang-Jun Lee
  • Publication number: 20120231602
    Abstract: A method of fabricating a memory cell comprises forming a plurality of doped semiconductor layers on a carrier substrate. The method further comprises forming a plurality of digit lines separated by an insulating material. The digit lines are arrayed over the doped semiconductor layers. The method further comprises etching a plurality of trenches into the doped semiconductor layers. The method further comprises depositing an insulating material into the plurality of trenches to form a plurality of electrically isolated transistor pillars. The method further comprises bonding at least a portion of the structure formed on the carrier substrate to a host substrate. The method further comprises separating the carrier substrate from the host substrate.
    Type: Application
    Filed: May 18, 2012
    Publication date: September 13, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: David H. Wells, H. Montgomery Manning
  • Publication number: 20120228689
    Abstract: The present invention relates to a method for the manufacture of a wafer by providing a doped layer on a semiconductor substrate; providing a first semiconductor layer on the doped layer; providing a buried oxide layer on the first semiconductor layer; and providing a second semiconductor layer on the buried oxide layer to form a wafer having a buried oxide layer and a doped layer beneath the buried oxide layer. The invention also relates to the wafer that is produced by the new method.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 13, 2012
    Applicant: SOITEC
    Inventors: Nicolas Daval, Cécile Aulnette, Bich-Yen Nguyen
  • Patent number: 8263457
    Abstract: A method of forming a plurality of capacitors includes an insulative material received over a capacitor array area and a circuitry area. The array area comprises a plurality of capacitor electrode openings within the insulative material received over individual capacitor storage node locations. The intervening area comprises a trench. Conductive metal nitride-comprising material is formed within the openings and against a sidewall portion of the trench to less than completely fill the trench. Inner sidewalls of the conductive material within the trench are annealed in a nitrogen-comprising atmosphere. The insulative material within the array area is etched with a liquid etching solution effective to expose outer sidewall portions of the conductive material within the array area. The conductive material within the array area is incorporated into a plurality of capacitors.
    Type: Grant
    Filed: October 10, 2011
    Date of Patent: September 11, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Vishwanath Bhat, Kevin R. Shea
  • Publication number: 20120225531
    Abstract: A semiconductor device includes a semiconductor substrate; a memory cell array including a plurality of memory cells formed on the semiconductor substrate and arranged in a matrix in a first direction and a second direction on the surface of the semiconductor substrate; a plurality of sense amplifiers formed on the semiconductor substrate and including a first sense amplifier and a second sense amplifier; and a plurality of bit lines extending along the first direction above the memory cell array, and arranged side by side in the second direction, wherein the plurality of bit lines include a first bit line pair formed in a first wiring layer and a second bit line pair formed in a second wiring layer located above the first wiring layer.
    Type: Application
    Filed: May 16, 2012
    Publication date: September 6, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Hiroyuki Ogawa, Hiroyoshi Tomita, Masato Takita
  • Publication number: 20120223414
    Abstract: In some aspects, a method of forming a reversible resistance-switching metal-insulator-metal (“MIM”) stack is provided, the method including: forming a first conducting layer comprising a titanium nitride material having between about 50% Ti and about 95% Ti, forming a carbon nano-tube (CNT) material above the first conducting layer, forming a second conducting layer above the CNT material, and etching the first conducting layer, CNT material and second conducting layer to form the MIM stack. Numerous other aspects are provided.
    Type: Application
    Filed: August 8, 2011
    Publication date: September 6, 2012
    Inventors: April D. Schricker, Er-Xuan Ping
  • Publication number: 20120223412
    Abstract: When forming capacitive structures in a metallization system, such as in a dynamic RAM area, placeholder metal regions may be formed together with “regular” metal features, thereby achieving a very efficient overall process flow. At a certain manufacturing stage, the metal of the placeholder metal region may be removed on the basis of a wet chemical etch recipe followed by the deposition of the electrode materials and the dielectric materials for the capacitive structure without unduly affecting other portions of the metallization system. In this manner, very high capacitance values may be realized on the basis of a very efficient overall manufacturing flow.
    Type: Application
    Filed: March 4, 2011
    Publication date: September 6, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Peter Baars, Till Schloesser, Vivien Schroeder
  • Publication number: 20120223413
    Abstract: Semiconductor structures having capacitors and metal wiring integrated in a same dielectric layer are described. For example, a semiconductor structure includes a plurality of semiconductor devices disposed in or above a substrate. One or more dielectric layers are disposed above the plurality of semiconductor devices. Metal wiring is disposed in each of the dielectric layers. The metal wiring is electrically coupled to one or more of the semiconductor devices. A metal-insulator-metal (MIM) capacitor is disposed in one of the dielectric layers, adjacent to the metal wiring of the at least one of the dielectric layers. The MIM capacitor is electrically coupled to one or more of the semiconductor devices.
    Type: Application
    Filed: March 4, 2011
    Publication date: September 6, 2012
    Inventor: Nick Lindert
  • Publication number: 20120225530
    Abstract: A method of fabricating a semiconductor memory device includes forming a hard mask pattern using a damascene method on a lower mold layer stacked on a substrate and etching the lower mold layer using the hard mask pattern as an etch mask to define a protrusion under the hard mask pattern. A support pattern is formed on a top surface of the etched lower mold layer, the top surface of the etched lower mold layer being located at a lower level than a top surface of the protrusion. A lower electrode supported by the support pattern is formed.
    Type: Application
    Filed: February 21, 2012
    Publication date: September 6, 2012
    Inventors: Jong-Kyu KIM, Sangsup Jeong, Kukhan Yoon, Junsoo Lee, SungII Cho, Yong-Joon Choi
  • Patent number: 8258628
    Abstract: An integrated circuit arrangement includes a substrate with a multiplicity of integrated semiconductor components arranged therein, the substrate having a wiring interconnect near to the substrate, a middle wiring interconnect and a wiring interconnect remote from the substrate, which are arranged in this order at increasing distance from the substrate.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: September 4, 2012
    Assignee: Infineon Technologies AG
    Inventors: Martina Hommel, Heinrich Koerner, Markus Schwerd, Martin Seck
  • Publication number: 20120220098
    Abstract: Some embodiments include dielectric structures. The structures include first and second portions that are directly against one another. The first portion may contain a homogeneous mixture of a first phase and a second phase. The first phase may have a dielectric constant of greater than or equal to 25, and the second phase may have a dielectric constant of less than or equal to 20. The second portion may be entirely a single composition having a dielectric constant of greater than or equal to 25. Some embodiments include electrical components, such as capacitors and transistors, containing dielectric structures of the type described above. Some embodiments include methods of forming dielectric structures, and some embodiments include methods of forming electrical components.
    Type: Application
    Filed: May 1, 2012
    Publication date: August 30, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Noel Rocklein, Chris M. Carlson, Dave Peterson, Cunyu Yang, Praveen Vaidyanathan, Vishwanath Bhat
  • Publication number: 20120214288
    Abstract: A method of producing a Metal-Insulator-Metal (MIM) capacitor stack through doping to achieve low current leakage and low equivalent oxide thickness is disclosed. A high K dielectric material is deposited on a non-noble electrode; the dielectric material is doped with oxides from group IIA. The dopant increases the barrier height of metal/insulator interface and neutralizes free electrons in dielectric material, therefore reduces the leakage current of MIM capacitor. The electrode may also be doped to increase work function while maintaining a rutile crystalline structure. The method thereby enhances the performance of DRAM MIM capacitor.
    Type: Application
    Filed: February 23, 2011
    Publication date: August 23, 2012
    Inventors: Hanhong Chen, Pragati Kumar
  • Publication number: 20120211866
    Abstract: A metal-insulator-metal (MIM) capacitor and a method of fabricating the same. The MIM capacitor is in a memory area of a wafer and comprises a top electrode formed from the same metal layer as a point contact to a via in the logic area of the wafer. The method of fabricating the MIM capacitor in a memory area of a wafer comprises forming a point contact to a via in a logic area of the wafer from the same metal layer as a top electrode of the MIM capacitor.
    Type: Application
    Filed: February 17, 2011
    Publication date: August 23, 2012
    Inventor: Chung-Wen Chao
  • Patent number: 8247289
    Abstract: A capacitor having a high quality and a manufacturing method of the same are provided. A capacitor has a lower electrode formed on an oxide film, a dielectric layer formed on the lower electrode, an upper electrode formed so as to face the lower electrode with the dielectric layer between, and an upper electrode formed so as to cover the upper electrode, an opening portion of the upper electrode and an opening portion of the dielectric layer. By forming the upper electrode on the dielectric layer, it is possible to pattern the dielectric layer by using the upper electrode as a mask, and provide a capacitor having a high-quality dielectric layer by preventing impurity diffusion into the dielectric layer. By forming the upper electrode on the dielectric layer, it is possible to prevent the dielectric layer from being exposed to etching liquid, liquid developer, etc.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: August 21, 2012
    Assignee: Ibiden Co., Ltd.
    Inventors: Yoshiki Yamanishi, Muneo Harada, Takahiro Kitano, Tatsuzo Kawaguchi, Yoshihiro Hirota, Kinji Yamada, Tomotaka Shinoda, Katsuya Okumura, Shuichi Kawano
  • Publication number: 20120205779
    Abstract: Methods of fabricating a semiconductor device are provided. The method includes forming a first mold layer on a in a cell region and a peripheral region, forming first storage nodes penetrating the first mold layer in the cell region and a first contact penetrating the first mold layer in the peripheral region, forming a second mold layer on the first mold layer, forming second storage nodes that penetrate the second mold layer to be connected to respective ones of the first storage nodes, removing the second mold layer in the cell and peripheral regions and the first mold layer in the cell region to leave the first mold layer in the peripheral region, and forming a second contact that penetrates a first interlayer insulation layer to be connected to the first contact. Related devices are also provided.
    Type: Application
    Filed: December 27, 2011
    Publication date: August 16, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jun Ki KIM
  • Publication number: 20120208340
    Abstract: A storage node is formed in a semiconductor device by forming an interlayer insulation layer on a substrate, forming an etch stop layer and a first sacrificial layer on the interlayer insulation layer, patterning the first sacrificial layer and the etch stop layer to form a first sacrificial layer pattern and an etch stop layer pattern that define a storage node contact hole, forming a recessed first storage node conductive pattern that conformally covers a lower sidewall and a bottom surface of the storage node contact hole, forming a second storage node conductive pattern that includes a first portion surrounded by the recessed first storage node conductive pattern and a second portion conformally covering an upper sidewall of the storage node contact hole, and removing the first sacrificial layer pattern. The recessed first storage node conductive pattern and the second storage node conductive pattern constitute a storage node.
    Type: Application
    Filed: February 14, 2012
    Publication date: August 16, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventors: Han Sang SONG, Jong Kook PARK
  • Publication number: 20120205733
    Abstract: Disclosed are a semiconductor device comprising a capacitor and a double-layer metal contact and a method fabricating the same. The method comprising: forming a gate of a peripheral transistor for a peripheral circuit; forming a first contact and a first peripheral circuit wiring layer pattern on a first interlayer insulating layer; forming a second contact and a second peripheral circuit wiring layer pattern; selectively removing a portion of the second interlayer insulating layer in a cell region; forming a mold layer covering the second peripheral circuit wiring layer pattern; forming storage nodes passing through the mold layer; removing the mold layer; forming a dielectric layer and a plate node, which cover the storage nodes; forming a third interlayer insulating layer; and forming third contacts passing through the third interlayer insulating layer.
    Type: Application
    Filed: February 3, 2012
    Publication date: August 16, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Chun Soo KANG
  • Publication number: 20120199948
    Abstract: A semiconductor chip includes a semiconductor substrate, an integrated circuit region having an integrated circuit, and conductive lines extending above the integrated circuit region. To protect the semiconductor chip against a physical attack, the semiconductor chip includes an array of protection capacitors extending above the conductive lines, at least first and second interconnection conductive lines, arranged to interconnect the protection capacitors in parallel, and a cprotection circuit configured to prevent at least some data from circulating on at least some conductive lines, when a short occurs in at least one protection capacitor.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 9, 2012
    Applicant: INSIDE SECURE
    Inventor: Marc SAISSE
  • Publication number: 20120199945
    Abstract: Aspects of the invention provide for methods of forming a deep trench capacitor structure. In one embodiment, aspects of the invention include a method of forming a deep trench capacitor structure, including: forming a deep trench within a semiconductor substrate; depositing a first liner within the deep trench; filling a lower portion of the deep trench with a filler material; depositing a second liner within an upper portion of the deep trench; removing the filler material, such that the lower portion of the deep trench includes only the first liner and the upper portion of the deep trench includes the first liner and the second liner; forming a high doped region around the lower portion of the deep trench; and removing the first liner within the lower portion of the deep trench and the second liner within the upper portion of the deep trench.
    Type: Application
    Filed: February 8, 2011
    Publication date: August 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joseph E. Ervin, Yanli Zhang
  • Publication number: 20120199950
    Abstract: Integrated circuits having place-efficient capacitors and methods for fabricating the same are provided. A dielectric layer is formed overlying a conductive feature on a semiconductor substrate. A via opening is formed into the dielectric layer to expose a portion of the conductive feature. A partial opening is etched into the dielectric layer and positioned over the conductive feature. Etch resistant particles are deposited overlying the dielectric layer and in the partial opening. The dielectric layer is further etched using the etch resistant particles as an etch mask to extend the partial opening. A first conductive layer is formed overlying the extended partial opening and electrically contacting the conductive feature. A capacitor insulating layer is formed overlying the first conductive layer. A second conductive layer is formed overlying the insulating layer.
    Type: Application
    Filed: February 7, 2011
    Publication date: August 9, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Dmytro CHUMAKOV
  • Publication number: 20120199949
    Abstract: Higher capacitance density is achieved by increasing a surface area of a capacitor. A larger surface area may be obtained by forming isotropic ball shapes (a concave surface) in the trenches on the semiconductor die. The concave surfaces are fabricated by depositing bilayers of amorphous-silicon and silicon oxide. Openings are patterned in the silicon oxide hard mask for trenches. The openings are transferred to the amorphous-silicon layers through isotropic etching to form concave surfaces. Conducting, insulating, and conducting layers are deposited on the concave surfaces of the trenches by atomic layer deposition.
    Type: Application
    Filed: February 4, 2011
    Publication date: August 9, 2012
    Applicant: QUALCOMM INCORPORATED
    Inventors: Je-Hsiung Lan, Matthew Michael Nowak, Evgeni P. Gousev, Jonghae Kim, Clarence Chui
  • Publication number: 20120200797
    Abstract: A capacitor includes a first electrode, a dielectric layer, and a second electrode that are sequentially stacked. The dielectric layer has a stacked layer structure including a predetermined number of hafnium oxide sublayers and predetermined number of tantalum oxide sublayers. The number, materials, and thicknesses of the sublayers are determined so that the thickness ratio has a range in which, in voltage-leakage current characteristics showing the relationship between the voltage between the first and second electrodes and the leakage current, a start voltage at which the slope of an increase in the current starts to discontinuously increase satisfies an electric field strength of 3 [MV/cm] or more when the ratio of the total thickness of the predetermined number of tantalum oxide sublayers to the total thickness of the dielectric layer is varied, and the thickness ratio is within the range such that the start voltage is within the range.
    Type: Application
    Filed: April 16, 2012
    Publication date: August 9, 2012
    Applicant: SONY CORPORATION
    Inventors: Kiwamu ADACHI, Satoshi HORIUCHI
  • Publication number: 20120202327
    Abstract: In one embodiment a method of forming a compressive polycrystalline semiconductive material layer is disclosed. The method comprises forming a polycrystalline semiconductive seed layer over a substrate and forming a silicon layer by depositing silicon directly on the polycrystalline silicon seed layer under amorphous process conditions at a temperature below 600 C.
    Type: Application
    Filed: February 7, 2011
    Publication date: August 9, 2012
    Inventors: Wolfgang Lehnert, Stefan Pompl, Markus Meyer
  • Publication number: 20120199944
    Abstract: Methods of forming a capacitor including forming at least one aperture in a support material, forming a titanium nitride material within the at least one aperture, forming a ruthenium material within the at least one aperture over the titanium nitride material, and forming a first conductive material over the ruthenium material within the at least one aperture. The support material may then be removed and the titanium nitride material may be oxidized to form a titanium dioxide material. A second conductive material may then be formed over an outer surface of the titanium dioxide material. Capacitors, semiconductor devices and methods of forming a semiconductor device including the capacitors are also disclosed.
    Type: Application
    Filed: February 7, 2011
    Publication date: August 9, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Tsai-Yu Huang, Vishwanath Bhat, Vassil Antonov, Chun-I Hsieh, Chris Carlson
  • Publication number: 20120199947
    Abstract: A method for manufacturing a TiN/Ta2O5/TiN capacitor, including the steps of forming a Ta2O5 layer on a TiN support by a plasma-enhanced atomic layer deposition method, or PEALD; and submitting the obtained structure to an N2O plasma for a duration sufficient to oxidize the Ta2O5 layer without oxidizing the TiN support.
    Type: Application
    Filed: February 2, 2012
    Publication date: August 9, 2012
    Applicant: STMicroelectronics (Crolles 2) SAS
    Inventor: Mickael Gros-Jean
  • Patent number: 8237146
    Abstract: In a first aspect, a method of forming a memory cell is provided that includes (1) forming a metal-insulator-metal (MIM) stack, the MIM stack including (a) a first conductive carbon layer; (b) a low-hydrogen, silicon-containing carbon layer above the first conductive carbon layer; and (c) a second conductive carbon layer above the low-hydrogen, silicon-containing carbon layer; and (2) forming a steering element coupled to the MIM stack. Numerous other aspects are provided.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: August 7, 2012
    Assignee: SanDisk 3D LLC
    Inventors: Franz Kreupl, Jingyan Zhang, Huiwen Xu
  • Publication number: 20120195114
    Abstract: A ferroelectric capacitor comprising a transistor layer superimposed on a semiconductor substrate, a ferroelectric capacitor layer provided superior to the transistor layer, a wiring layer provided superior to the ferroelectric capacitor layer, and a passivation film. Further, at least one layer of barrier film capable of inhibiting penetration of moisture and hydrogen into the underlayer is provided between the ferroelectric capacitor layer and the passivation film, and the passivation film is characterized by containing a novolac resin.
    Type: Application
    Filed: April 9, 2012
    Publication date: August 2, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Kouichi NAGAI
  • Publication number: 20120196449
    Abstract: A zirconium precursor selected from among compounds of Formulae (I), (II) and (III): wherein: M is Zr, Hf or Ti; R1 is hydrogen or C1-C5 alkyl; each of R2, R? and R? is independently selected from C1-C5 alkyl; and n has a value of 0, 1, 2, 3 or 4. Compounds of such formulae are useful in vapor deposition processes such as atomic layer deposition, to form corresponding metal-containing films, e.g., high k dielectric zirconium films in the fabrication of DRAM memory cells.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 2, 2012
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Chongying Xu, Thomas M. Cameron, William Hunks
  • Publication number: 20120193758
    Abstract: A semiconductor apparatus includes a first capacitor formed in a normal cell area and including a lower electrode coupled to one end of a cell transistor, and a second capacitor formed in a dummy cell area and including a lower electrode coupled to a power terminal.
    Type: Application
    Filed: August 27, 2011
    Publication date: August 2, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Mi Hyeon Jo, Woong Ju JANG, Ki Myung KYUNG
  • Publication number: 20120193760
    Abstract: A semiconductor device includes: a multilayer wiring layer located over a substrate and in which multiple wiring layers configured by a wiring and an insulating layer are stacked; a memory circuit which is formed in a memory circuit region in the substrate and has a capacitance element embedded in a concave part located in the multilayer wiring layer; a logic circuit which is formed in a logic circuit region in the substrate; an upper part coupling wiring which is stacked over the capacitance element configured by a lower part electrode, a capacitor insulating film and an upper part electrode; and a cap layer which is formed on the upper surface of the wiring configuring the logic circuit. The upper surface of the upper part coupling wiring and the upper surface of the cap film are provided on the same plane.
    Type: Application
    Filed: January 25, 2012
    Publication date: August 2, 2012
    Inventors: Kenzo MANABE, Naoya INOUE, Kenichiro HIJIOKA, Yoshihiro HAYASHI
  • Publication number: 20120193757
    Abstract: A DRAM capacitor structure is disposed on the interior surface of a vertical hollow cylinder of a support structure overlying a semiconductor substrate. The support structure further includes a horizontal supporting layer that is integrally connected with the vertical hollow cylinder. A fabrication method for forming the DRAM capacitor structure is also provided.
    Type: Application
    Filed: April 13, 2011
    Publication date: August 2, 2012
    Inventors: Tah-Te Shih, Tsung-Cheng Yang
  • Patent number: 8232175
    Abstract: A present method of fabricating a memory device includes the steps of providing a dielectric layer, providing an opening in the dielectric layer, providing a first conductive body in the opening, providing a switching body in the opening, the first conductive body and switching body filling the opening, and providing a second conductive body over the switching body. In an alternate embodiment, a second dielectric layer is provided over the first-mentioned dielectric layer, and the switching body is provided in an opening in the second dielectric layer.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: July 31, 2012
    Assignee: Spansion LLC
    Inventors: Suzette K. Pangrle, Steven Avanzino, Sameer Haddad, Michael VanBuskirk, Manuj Rathor, James Xie, Kevin Song, Christie Marrian, Bryan Choo, Fei Wang, Jeffrey A. Shields
  • Publication number: 20120187533
    Abstract: Some embodiments include capacitors. The capacitors may include container-shaped storage node structures that have, along a cross-section, a pair of upwardly-extending sidewalls. Individual sidewalls may have a narrower segment over a wider segment. Capacitor dielectric material and capacitor electrode material may be along the narrower and wider segments of the sidewalls. Some embodiments include methods of forming capacitors in which an initial container-shaped storage node structure is formed to have a pair of upwardly-extending sidewalls along a cross-section, with the sidewalls being of thickness that is substantially constant or increasing from a base to a top of the initial structure. The initial structure is then converted into a modified storage node structure by reducing thicknesses of upper segments of the sidewalls while leaving thicknesses of lower segments of the sidewalls substantially unchanged.
    Type: Application
    Filed: January 20, 2011
    Publication date: July 26, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Duane M. Goodner, Sanjeev Sapra, Darwin Franseda Fan
  • Publication number: 20120188002
    Abstract: A modularized capacitor array includes a plurality of capacitor modules. Each capacitor module includes a capacitor and a switching device that is configured to electrically disconnect the capacitor. The switching device includes a sensing unit configured to detect the level of leakage of the capacitor so that the switching device disconnects the capacitor electrically if the leakage current exceeds a predetermined level. Each capacitor module can include a single capacitor plate, two capacitor plates, or more than two capacitor plates. The leakage sensors and switching devices are employed to electrically disconnect any capacitor module of the capacitor array that becomes leaky, thereby protecting the capacitor array from excessive electrical leakage.
    Type: Application
    Filed: April 3, 2012
    Publication date: July 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Louis L. Hsu, Xu Ouyang, Chih-Chao Yang
  • Publication number: 20120190164
    Abstract: A method and semiconductor device. In the method, at least one partial via is etched in a stacked structure and a border is formed about the at least one partial via. The method further includes performing thick wiring using selective etching while continuing via etching to at least one etch stop layer.
    Type: Application
    Filed: March 28, 2012
    Publication date: July 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Douglas D. COOLBAUGH, Keith E. DOWNES, Peter J. LINDGREN, Anthony K. STAMPER
  • Publication number: 20120190166
    Abstract: A method for manufacturing a semiconductor device comprises forming a base film on a semiconductor substrate, forming an amorphous carbon film on the base film, forming a pattern of the amorphous carbon film, and etching the base film using the amorphous carbon film as a mask. The film density of the amorphous carbon film is reduced from surface of the amorphous carbon film to face of the amorphous carbon film adjacent to the base film.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 26, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kazuhiro OKUDA