Photolith Ographic Process (epo) Patents (Class 257/E21.027)
  • Patent number: 8123968
    Abstract: Pitch multiplication is performed using a two step process to deposit spacer material on mandrels. The precursors of the first step react minimally with the mandrels, forming a barrier layer against chemical reactions for the deposition process of the second step, which uses precursors more reactive with the mandrels. Where the mandrels are formed of amorphous carbon and the spacer material is silicon oxide, the silicon oxide is first deposited by a plasma enhanced deposition process and then by a thermal chemical vapor deposition process. Oxygen gas and plasma-enhanced tetraethylorthosilicate (TEOS) are used as reactants in the plasma enhanced process, while ozone and TEOS are used as reactants in the thermal chemical vapor deposition process. The oxygen gas is less reactive with the amorphous carbon than ozone, thereby minimizing deformation of the mandrels caused by oxidation of the amorphous carbon.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: February 28, 2012
    Assignee: Round Rock Research, LLC
    Inventors: Jingyi Bai, Gurtej S Sandhu, Shuang Meng
  • Patent number: 8110880
    Abstract: Systems and methods for single lithography step interconnection metallization using a stop-etch layer are described. A method that includes depositing a stop-etch layer over a semiconductor device, depositing an interconnect metallization material over the stop-etch layer, performing a single lithography step to pattern a mask over the interconnect metallization material, etching the interconnect metallization material in non-masked areas, and removing the stop-etch layer. A system comprises a stop-etch layer material for deposit into a stop-etch layer over a wafer, an interconnect metallization material for deposit over the chrome layer, a lithography operation for patterning a mask over the interconnect metallization material, a first etching compound for etching the interconnect metallization material, where the etching stops at the stop-etch layer, and a second etching compound for removing the stop-etch layer.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: February 7, 2012
    Assignee: Northrop Grumman Systems Corporation
    Inventor: John V. Veliadis
  • Patent number: 8105961
    Abstract: A method of creating a sensor that may include applying a first conductive material on a first portion of a substrate to form a reference electrode and depositing a first mask over the substrate, the first mask having an opening that exposes the reference electrode and a second portion of the substrate. The method may also include depositing a second conductive material into the opening in the first mask, the second conductive material being in direct contact with the reference electrode and depositing a second mask over the second conductive material, the second mask having an opening over the second portion of the substrate, the opening exposing a portion of the second conductive material which forms a working surface to receive a fluid of interest.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: January 31, 2012
    Assignee: Edwards Lifesciences Corporation
    Inventor: Kenneth M. Curry
  • Patent number: 8101530
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method is a lithography patterning method that can include providing a substrate; forming a protective layer over the substrate; forming a conductive layer over the protective layer; forming a resist layer over the conductive layer; and exposing and developing the resist layer.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: January 24, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: I-Hsiung Huang, Chin-Hsiang Lin, Heng-Jen Lee, Heng-Hsin Liu
  • Patent number: 8076729
    Abstract: Disclosed is a method for forming a dual gate electrode of a semiconductor device, which may improve manufacturing productivity by simplifying a process of forming gate electrodes in PMOS and NMOS regions, respectively, and may provide improvement in performance by making the two gate electrodes have a different thickness and material state in a manner that one of the two gate electrodes has a single-layer structure and the other one has a two-layer structure.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: December 13, 2011
    Assignee: Dongbu Hitek Co., Ltd
    Inventor: Eun Sang Cho
  • Patent number: 8058151
    Abstract: A structure includes a substrate having a plurality of scribe line areas surrounding a plurality of die areas. Each of the die areas includes at least one first conductive structure formed over the substrate. Each of the scribe line areas includes at least one active region and at least one non-active region. The active region includes a second conductive structure formed therein. The structure further includes at least one first passivation layer formed over the first conductive structure and second conductive structure, wherein at least a portion of the first passivation layer within the non-active region is removed, whereby die-sawing damage is reduced.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: November 15, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shin-Puu Jeng, Hao-Yi Tsai
  • Patent number: 8048735
    Abstract: The present invention provides an MIM capacitor using a high-k dielectric film preventing degradation of breakdown field strength of the MIM capacitor and suppressing the increase of the leakage current. The MIM capacitor comprises a first metal interconnect, a fabricated capacitance film, a fabricated upper electrode, and a third metal interconnect. The MIM capacitor is realized by forming an interlayer dielectric film comprising silicon oxide so as to cover the first metal interconnect, then forming a first opening in the interlayer dielectric film to a region corresponding to a via hole layer in the interlayer dielectric film just above the first metal interconnect so as not to expose the upper surface of the first metal interconnect, then forming a second opening to the inside of the first opening so as to expose the surface of the first metal interconnect and then forming a capacitance film and a third metal interconnect.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: November 1, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Kenichi Takeda, Tsuyoshi Fujiwara, Toshinori Imai
  • Patent number: 8048787
    Abstract: Provided are a semiconductor device and a method of forming the same. The method may include forming a gate dielectric layer including a plurality of elements on a substrate; supplying a specific element to the gate dielectric layer; forming a product though reacting the specific element with at least one of the plurality of elements; and removing the product.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangjin Hyun, Yugyun Shin, Hagju Cho, Hyung-seok Hong
  • Patent number: 8039937
    Abstract: Provided are methods of fabricating semiconductor chips, semiconductor chips formed by the methods, and chip-stack packages having the semiconductor chips. One embodiment specifies a method that includes patterning a scribe line region of a semiconductor substrate to form a semiconductor strut spaced apart from edges of a chip region of the semiconductor substrate.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: October 18, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Soo Chung, Seung-Kwan Ryu, Ju-Il Choi, Dong-Ho Lee, Seong-Deok Hwang
  • Patent number: 8017457
    Abstract: A method of manufacturing a semiconductor memory device of the present invention consists of a step of forming a selection transistor and a separate selection transistor and a step of forming a variable resistance element and a capacitance element, characterized by forming the variable resistance element by sequentially laminating a first electrode that is connected to the selection transistor, a variable resistance layer, and a second electrode; forming the capacitance element by sequentially laminating a third electrode that is connected to the separate selection transistor, a dielectric layer, and a fourth electrode; forming the dielectric layer and the variable resistance layer with a mutually identical material; forming either one of the first electrode or the second electrode with the same material as the third electrode and the fourth electrode; and forming the other one of the first electrode or the second electrode with a different material than the third electrode and the fourth electrode.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: September 13, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Kazuhiko Kajigaya
  • Patent number: 8017460
    Abstract: The present invention relates to a method for manufacturing a flat panel display. Herein, the same mask is used to form contact holes and pixel electrodes in the display substrate. Hence, the number of masks needed for manufacturing the flat panel display can be reduced to decrease the manufacturing cost.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: September 13, 2011
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Shu-Yu Chang, Wen-Hsiung Liu
  • Patent number: 8013423
    Abstract: A semiconductor device includes an interlayer insulating layer including a plurality of trenches connecting to a number of via holes formed on a semiconductor substrate including lower interconnections, wherein widths of the trenches are greater than widths of the via holes, and metal interconnections formed by burying metal thin films in the via holes and the trenches. Depths of the trenches are adjusted differently from each other depending on required resistances of the metal interconnections.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: September 6, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dong-Yeal Keum
  • Patent number: 8008211
    Abstract: A pattern forming method includes (a) forming pairs of deposits on sidewalls of mask portions in first mask patterns by forming a thin film thereon, etching it to leave deposits, and exposing a top surface of a second-layer film between the deposits; (b) forming second mask patterns formed of mask portions corresponding to the deposits by removing the mask portion, plasma etching the second-layer film, and removing the deposits; (c) forming a thin film thereon, and etching it to leave deposits on sidewalls of mask portions facing each other and to expose a third-layer film between the deposits while leaving deposits between adjacent mask portions; and (d) forming grooves thereon by removing the second mask portion, and etching off the third-layer film.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akitake Tamura, Teruyuki Hayashi, Kaoru Fujihara
  • Patent number: 8008188
    Abstract: A method is provided comprising: coating an electrically conductive core with a first removable material, creating openings in the first removable material to expose portions of the electrically conductive core, plating a conductive material onto the exposed portions of the electrically conductive core, coating the conductive material with a second removable material, removing the first removable material, electrophoretically coating the electrically conductive core with a dielectric coating, and removing the second removable material.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: August 30, 2011
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Kevin C. Olson, Alan E. Wang
  • Patent number: 8003542
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: August 23, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej S. Sandhu, Neal R. Rueger
  • Patent number: 8003531
    Abstract: A method for manufacturing a flash memory device is capable of controlling a phenomenon in which a length of the channel between a source and a drain is decreased due to undercut. The method includes forming a gate electrode comprising a floating gate, an ONO film and a control gate using a hard mask pattern over a semiconductor substrate, forming a spacer over the sidewall of the gate electrode, forming an low temperature oxide (LTO) film over the entire surface of the semiconductor substrate including the gate electrode and the spacer, etching the LTO film such that a top portion of the source/drain region and a top portion of the gate electrode are exposed, and removing the LTO film present over the sidewall of the gate electrode by wet-etching.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: August 23, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chung-Kyung Jung
  • Patent number: 7994060
    Abstract: An integrated circuit is formed with structures spaced more closely together than a transverse dimension of such structures, such as for making contacts to electronic elements formed at minimum lithographically resolvable dimensions by dark field split pitch techniques. Acceptable overlay accuracy and process efficiency and throughput for the split pitch process that requires etching of a hard mark for each of a plurality of sequentially applied and patterned resist layers is supported by performing the etching of the hard mask entirely within a lithography track through using an acid sensitive hard mark material and an acidic overcoat which contacts areas of the hard mask through patterned apertures in the resist. The contacted areas of the hard mask are activated for development by baking of the acidic overcoat.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: August 9, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sean D. Burns, Matthew E. Colburn, Steven J. Holmes
  • Patent number: 7989354
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a first resist film on the first film; processing the first resist film into a first resist pattern having a preset pitch by photolithography; forming a silicon oxide film on the first resist pattern and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; forming a second resist film on the silicon oxide film; processing the second resist film into a second resist pattern having a preset pitch by the photolithography; and processing the first film by using the first resist pattern and the second resist pattern as a mask.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Patent number: 7960286
    Abstract: A method of manufacturing a semiconductor structure is provided. The method includes forming a hard mask pattern on a semiconductor substrate, wherein the hard mask pattern covers active regions; forming a trench in the semiconductor substrate within an opening defined by the hard mask pattern; filling the trench with a dielectric material, resulting in a trench isolation feature; performing an ion implantation to the trench isolation feature using the hard mask pattern to protect active regions of the semiconductor substrate; and removing the hard mask pattern after the performing of the ion implantation.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: June 14, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Han Liao, Tze-Liang Lee
  • Patent number: 7951615
    Abstract: One embodiment is a method for fabricating ICs from a semiconductor wafer. The method includes performing a first process on the semiconductor wafer; taking a first measurement indicative of an accuracy with which the first process was performed; and using the first measurement to generate metrology calibration data, wherein the metrology calibration data includes an effective portion and a non-effective portion. The method further includes removing the non-effective portion from the metrology calibration data and modeling the effective portion with a metrology calibration model; combining the metrology calibration model with a first process model to generate a multi-resolution model, wherein the first process model models an input-output relationship of the first process; and analyzing a response of the multi-resolution model and second measurement data to control performance a second process.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: May 31, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andy Tsen, Jin-Ning Sung, Po-Feng Tsai, Jong-I Mou
  • Patent number: 7943521
    Abstract: A method for patterning a semiconductor device can include forming a conductive layer over a semiconductor substrate; alternatively forming positive photoresists and negative photoresists over the conductive layer, forming a plurality of first conductive lines by selectively removing a portion of the conductive layer using the positive photoresist and the negative photoresist as masks; forming an oxide film over the semiconductor substrate including the first conductive lines and the conductive layer; performing a planarization process over the oxide film using the uppermost surface of the first conductive line as a target; removing the plurality of first conductive lines using the oxide film as a mask; forming a plurality if trenches in the semiconductor substrate and removing a portion of the oxide film to expose the uppermost surface of the conductive layer; and then forming a plurality of second conductive lines by removing the exposed conductive layer using the oxide film as a mask.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: May 17, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Eun-Soo Jeong
  • Patent number: 7935638
    Abstract: Methods and structures for enhancing the homogeneity in a ratio of perimeter to surface area among heterogeneous features in different substrate regions. At least one shape on the substrate includes an added edge effective to reduce a difference in the perimeter-to-surface area ratio between the features in a first substrate region and features in a second substrate region. The improved homogeneity in the perimeter-to-surface area ratio reduces variations in a thickness of a conformal layer deposited across the features in the first and second substrate regions.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: James A. Culp, John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin
  • Patent number: 7871909
    Abstract: Methods for forming patterns having triple the line frequency of a first pattern using only a single spacer are disclosed. For example, the first pattern is formed in a first and a second material using a lithographic process. Sidewall spacers are formed from a third material adjacent to exposed sidewalls of features in the second material. The width of the features in the first pattern in the first material is reduced. For example, the width is reduced to about the target width of features in a final pattern. The width of features in the first pattern in the second material is reduced using remaining portions of the first material as a mask. A second pattern is formed based on remaining portions of the second material and the sidewall spacers. The features in the second pattern may be lines having about ? the width of lines in the first pattern.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: January 18, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Chun-Ming Wang, Chen-Che Huang, Masaaki Higashitani, George Matamis
  • Patent number: 7867913
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist over a substrate where an etch target layer is formed, doping at least one impurity selected from group III elements and group V elements, of the periodic table, into the first photoresist, forming a photoresist pattern over the first photoresist, performing a dry etching process using the photoresist pattern to expose the first photoresist, etching the first photoresist by an oxygen-based dry etching to form a first photoresist pattern where a doped region is oxidized, and etching the etch target layer using the first photoresist pattern as an etch barrier.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Patent number: 7867925
    Abstract: The main object of the present invention is to provide a method for manufacturing efficiently a pattern formed structure which has a surface having a property-varied pattern and can be used to manufacture a color filter or the like. In order to achieve the object, the present invention provides a method for manufacturing a pattern formed structure, comprising: a patterning substrate preparing process of preparing a patterning substrate having a base material and a property variable layer which is formed on the base material and has a property variable by action of a photocatalyst based on irradiation with energy; and an energy radiating process of arranging a photocatalyst containing layer side substrate having a base body and a photocatalyst containing layer comprising at least the photocatalyst, and the patterning substrate so as to keep a given interval between the photocatalyst containing layer and the property variable layer, and then radiating energy onto the resultant at an intensity of 0.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: January 11, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Hironori Kobayashi, Yusuke Uno
  • Patent number: 7855146
    Abstract: A method for forming a transistor gate includes performing a first exposure of a photo-resist material on a semiconductor device. The first exposure defines a line pattern in the photo-resist material. The method also includes performing a second exposure of the photo-resist material, where the second exposure trims a resist profile of the line pattern. The method further includes etching a conductive material on the semiconductor device to form a transistor gate based on the line pattern. The first exposure could represent a best focus exposure of the photo-resist material, and the second exposure could represent a positive focus exposure of the photo-resist material. The trimming of the line pattern's resist profile may cause the transistor gate to have at least one of a rounded edge and a rounded corner. This may allow a thicker insulating material, such as tetraethylorthosilicate, to be deposited around portions of the transistor gate.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: December 21, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Li-Heng Chou, Jiankang Bu
  • Patent number: 7807497
    Abstract: Example embodiments may provide phase-change material layers and a method of forming a phase-change material layer and devices using the same by generating a plasma including helium and/or argon in a reaction chamber, forming a first material layer on the object by introducing a first source gas including a first material, forming a first composite material layer on the object by introducing a second source gas including a second material into the reaction chamber, forming a third material layer on the first composite material layer by introducing a third source gas including a third material, and forming a second composite material layer on the first composite material layer by introducing a fourth source gas including a fourth material. Example embodiment phase-change material layers including carbon may be more easily and/or quickly formed at lower temperatures under the helium/argon plasma environment by providing the source gases for various feeding times.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-II Lee, Sung-Lae Cho, Young-Lim Park, Hye-Young Park
  • Patent number: 7772050
    Abstract: The present invention relates to a method for manufacturing a flat panel display. Herein, the same mask is used to form contact holes and pixel electrodes in the display substrate. Hence, the number of masks needed for manufacturing the flat panel display can be reduced to decrease the manufacturing cost.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: August 10, 2010
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Shu-Yu Chang, Wen-Hsiung Liu
  • Patent number: 7772126
    Abstract: An interlayer is disposed on a pattern surface of a substrate. A buried hard mask may be provided on the interlayer. The buried hard mask includes a template opening having a template length along a line axis and a template width perpendicular thereto. The buried hard mask is filled with a fill material. A top mask is provided above the filled buried hard mask. The top mask includes a trim opening crossing the template opening and having a trim width along the line axis that is smaller than the template length. By etching the fill material and the interlayer using the top and buried hard mask a process section of the pattern surface may be exposed such that a target length and width of the process section result from the template and the trim widths. The planar dimensions of the process section may be decoupled from each other.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: August 10, 2010
    Assignee: Qimonda AG
    Inventor: Lars Bach
  • Patent number: 7767592
    Abstract: A method for forming a mask pattern for ion-implantation comprises: forming a gate line pattern over a semiconductor substrate; forming a coating layer on the surface of gate line pattern; performing a plasma treatment on the top portion of the gate line pattern; forming a photoresist layer over the resulting structure; and performing an exposure and a developing processes to form a photoresist pattern on the gate line pattern.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: August 3, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyu Sung Kim
  • Patent number: 7759242
    Abstract: A method of fabricating an integrated circuit, including the steps of forming a first mask layer in the form of a hard mask layer including a plurality of first openings and a second mask layer with at least one second opening which at least partially overlaps with one of the first openings, wherein the at least one second opening is generated lithographically; and at least two neighboring first openings are distanced from each other with a center to center pitch smaller than the resolution limit of the lithography used for generating the second opening.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: July 20, 2010
    Assignee: Qimonda AG
    Inventors: Steffen Meyer, Rolf Weis, Burkhard Ludwig, Christoph Noelscher
  • Patent number: 7759261
    Abstract: A method for obtaining layers defined on a hybrid circuit. The hybrid circuit including a substrate and at least one elementary circuit that includes a first facet and a second facet, being hybridized via the second facet to a facet of the substrate. This facet of the substrate and each elementary circuit are coated with a first layer, the first layer is removed from the first facet of the elementary circuit, the first facet and the subsisting part of the first layer are coated with a second layer, and the subsisting part and the second layer covering it are removed. Such a method may, for example, find application to obtaining an antireflection or metal layer on a chip.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: July 20, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Francois Marion, Philippe Rambaud, Lydie Mathieu
  • Patent number: 7737044
    Abstract: A method of manufacturing a solid state imaging device having photoelectric conversion devices, the method including: 1) forming a plurality of color filters differing in color from each other, 2) forming a transparent resin layer on the color filters, 3) forming an etching control layer on the transparent resin layer, the etching control layer being enabled to be etched at a different etching rate from the etching rate of the transparent resin layer, 4) forming a lens master on the etching control layer by using a heat-flowable resin material, 5) transferring a pattern of the lens master to the etching control layer by dry etching to form an intermediate micro lens, and 6) transferring a pattern of the intermediate micro lens to the transparent resin layer by dry etching to form the transfer lenses.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: June 15, 2010
    Assignee: Toppan Printing Co., Ltd.
    Inventors: Kenzo Fukuyoshi, Tadashi Ishimatsu, Keisuke Ogata, Mitsuhiro Nakao, Akiko Uchibori
  • Patent number: 7732341
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Patent number: 7718551
    Abstract: A method for forming a photoresist layer is provided. The method includes following steps. A wafer is provided in a semiconductor machine. The wafer is spun at a first spin speed. A pre-wet solvent is dispensed on the spinning wafer by using a nozzle disposed at a fixed position. The pre-wet solvent then stops dispensing. The spin speed of the wafer is adjusted from the first spin speed to a second spin speed which is faster than the first spin speed. Thereafter, a photoresist layer is coated on the wafer.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: May 18, 2010
    Assignee: United MIcroelectronics Corp.
    Inventors: Yu-Huan Liu, Chih-Jung Chen, Chih-Chung Huang
  • Patent number: 7718347
    Abstract: The present invention provides a method of forming interconnects in a photovoltaic module. According to one aspect, a method according to the invention includes processing steps that are similar to those performed in conventional integrated circuit fabrication. For example, the method can include masks and etches to form isolation grooves between cells, and additional etches to form a conductive step adjacent to the grooves that can be used to form interconnects between cells. According to another aspect the method for forming the conductive step can be self-aligned, such as by positioning a mirror above the module and exposing photoresist from underneath the substrate at an angle one or more times, and etching to expose the conductive step. According to another aspect, the process can include steps to form grid lines in the module to improve current transport in the structure.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Peter Borden
  • Patent number: 7704875
    Abstract: Methods for patterning high-density contact holes and contacts are described herein. Embodiments of the present invention provide a method comprising depositing a first dummy layer over a substrate to form a first pattern; depositing a second dummy layer over the substrate to form a second pattern, the second pattern overlapping the first pattern at a plurality of locations; etching the first and second dummy layers to form a plurality of posts at the plurality of locations; forming a dielectric layer over the substrate; and etching the posts to form a plurality of contact holes in the dielectric layer. Other embodiments may be described and claimed.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: April 27, 2010
    Assignee: Marvell International Ltd.
    Inventors: Albert Wu, Chien-Chuan Wei
  • Patent number: 7696033
    Abstract: A method of fabricating a Complementary Metal-Oxide Semiconductor (CMOS) Thin Film Transistor (TFT) using a reduced number of masks includes: forming a buffer layer on the entire surface of a substrate; forming polysilicon and photoresist layers on the entire surface of the substrate having the buffer layer; exposing and developing the photoresist layer to form a first photoresist pattern having a first thickness in a region where a semiconductor layer of a first TFT is to be formed, a second thickness in a region where a channel and a Lightly Doped Drain (LDD) region of a second TFT are to be formed, and a third thickness in a region where source and drain regions of the second TFT are to be formed; etching the polysilicon layer using the first photoresist pattern as a mask to pattern the semiconductor layers of the first and second TFTs; performing a first ashing process on the first photoresist pattern to form a second photoresist pattern where the region having the third thickness has been removed from th
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: April 13, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventor: Eui-Hoon Hwang
  • Patent number: 7598176
    Abstract: A plasma processing operation uses a gas mixture of N2 and H2 to both remove a photoresist film and treat a low-k dielectric material. The plasma processing operation prevents degradation of the low-k material by forming a protective layer on the low-k dielectric material. Carbon from the photoresist layer is activated and caused to complex with the low-k dielectric, maintaining a suitably high carbon content and a suitably low dielectric constant. The plasma processing operation uses a gas mixture with H2 constituting at least 10%, by volume, of the gas mixture.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: October 6, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Jang-Shiang Tsai, Yi-Nien Su, Chung-Chi Ko, Jyu-Horng Shieh, Peng-Fu Hsu, Hun-Jan Tao
  • Patent number: 7569478
    Abstract: In a method for manufacturing a semiconductor device having a dual damascene structure, a semiconductor substrate formed by stacking a trench mask and a via hole resist mask on an insulating film is loaded into a processing chamber, and a via hole is formed by etching the insulating film through the via hole resist mask. Then, the via hole resist mask is removed by an ashing process and a protective film is formed on an underlayer of the insulating film; Thereafter, a trench is formed by etching the insulating film through the trench mask, and the semiconductor substrate is unloaded from the processing chamber after the via hole forming step, the resist mask removing step, the protective film forming step and the trench forming step are completed in the processing chamber.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: August 4, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Patent number: 7566658
    Abstract: A semiconductor device includes an interlayer insulating layer including a plurality of trenches connecting to a number of via holes formed on a semiconductor substrate including lower interconnections, wherein widths of the trenches are greater than widths of the via holes, and metal interconnections formed by burying metal thin films in the via holes and the trenches. Depths of the trenches are adjusted differently from each other depending on required resistances of the metal interconnections.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: July 28, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dong-Yeal Keum
  • Patent number: 7560390
    Abstract: Multiple pitch-multiplied spacers are used to form mask patterns having features with exceptionally small critical dimensions. One of each pair of spacers formed around a plurality of mandrels is removed and alternating layers, formed of two mutually selectively etchable materials, are deposited around the remaining spacers. Layers formed of one of the materials are then etched, leaving behind vertically-extending layers formed of the other of the materials, which form a mask pattern. Alternatively, instead of depositing alternating layers, amorphous carbon is deposited around the remaining spacers followed by a plurality of cycles of forming pairs of spacers on the amorphous carbon, removing one of the pairs of spacers and depositing an amorphous carbon layer. The cycles can be repeated to form the desired pattern. Because the critical dimensions of some features in the pattern can be set by controlling the width of the spaces between spacers, exceptionally small mask features can be formed.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanket Sant, Gurtej Sandhu, Neal R. Rueger
  • Patent number: 7544521
    Abstract: A method of trimming the critical dimension of an isolated line to a greater extent than a dense line is provided. A mask is formed of an organic material over the etch layer wherein the mask has at least a first region with a first pattern density and a second region with a second pattern density. A surface area of the organic material in the first region is measured. A surface area of the organic material in the second region is measured. A reverse bias trim of the mask is provided, wherein a ratio of a trim rate of the organic material in the first region to a trim rate of the organic material in the second region is related to a ratio of the measured surface area of the organic material in the first region to the measured surface area of the organic material in the second region.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: June 9, 2009
    Assignee: Lam Research Corporation
    Inventors: Scott Briggs, Aaron Eppler
  • Patent number: 7528046
    Abstract: A method for manufacturing a semiconductor device including a substrate, a memory cell region including first pattern, first guard ring around the memory cell, second guard ring around the first guard ring, an isolation region between the first and second guard ring, and a peripheral circuit region around the second guard ring and including second pattern, the method including exposing the resist film by multiple exposure including first and second exposures for forming latent images corresponding to the first and second patterns, a boundary area of the multiple exposure being set on the isolation region, on the first or second guard ring, or on an area between the first guard ring and the memory cell region, forming a resist pattern by developing the resist film, and etching the substrate with the resist pattern as a mask.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: May 5, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Ichige, Makoto Sakuma, Fumitaka Arai
  • Patent number: 7521353
    Abstract: In a first preferred embodiment of the present invention, conductive features are formed on a first dielectric etch stop layer, and a second dielectric material is deposited over and between the conductive features. A via etch to the conductive features which is selective between the first and second dielectrics will stop on the dielectric etch stop layer, limiting overetch. In a second embodiment, a plurality of conductive features is formed in a subtractive pattern and etch process, filled with a dielectric fill, and then a surface formed coexposing the conductive features and dielectric fill. A dielectric etch stop layer is deposited on the surface, then a third dielectric covers the dielectric etch stop layer. When a contact is etched through the third dielectric, this selective etch stops on the dielectric etch stop layer. A second etch makes contact to the conductive features.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: April 21, 2009
    Assignee: Sandisk 3D LLC
    Inventor: Christopher J Petti
  • Patent number: 7514361
    Abstract: A method of creating metal caps on copper lines within an inter-line dielectric (ILD) deposits a thin (e.g., 5 nm) metal blanket film (e.g., Ta/TaN) on top the copper lines and dielectric, after the wafer has been planarized. Further a thin dielectric cap is formed over the metal blanket film. A photoresist coating is deposited over the thin dielectric cap and a lithographic exposure process is performed, but without a lithographic mask. A mask is not needed in this situation, because due to the reflectivity difference between copper and the ILD lying under the two thin layers, a mask pattern is automatically formed for etching away the Ta/TaN metal cap between copper lines. Thus, this mask pattern is self-aligned above the copper lines.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Shyng-Tsong Chen, Matthew E. Colburn, Ronald DellaGuardia, Chih-Chao Yang
  • Patent number: 7507264
    Abstract: In transporting a reticle with a pellicle, gas purge is efficiently performed in a pellicle space or the environment in the pellicle space is efficiently maintained. Injectors are provided in a pair of fork positions or a reticle hand. Inert gas is injected and supplied from the injectors into a pellicle space through vent holes of a reticle with a pellicle. In this state, the reticle with the pellicle is transported.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: March 24, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ken Matsumoto
  • Publication number: 20090047793
    Abstract: Disclosed herein is a method of manufacturing a semiconductor device, including the step of ashing away by a plasma treatment an organic material film formed over a substrate with an inter-layer insulator film therebetween, wherein the plasma treatment is conducted while electric power applied so as to draw ions in a plasma toward the substrate is periodically turned ON and OFF.
    Type: Application
    Filed: August 12, 2008
    Publication date: February 19, 2009
    Applicant: SONY CORPORATION
    Inventor: Masanaga Fukasawa
  • Patent number: 7482280
    Abstract: A method of lithography patterning includes forming a first material layer on a substrate, the first material layer being substantially free of silicon, and forming a patterned resist layer including at least one opening therein above the first material layer. A second material layer containing silicon is formed on the patterned resist layer and an opening is formed in the first material layer using the second material layer as a mask.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: January 27, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chin-Hsiang Lin, Burn Jeng Lin
  • Patent number: 7479463
    Abstract: Embodiments of an apparatus and methods for heating a substrate and a sacrificial layer are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: January 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: John Kulp, Michael Carcasi, Merritt Funk