Using Mask (epo) Patents (Class 257/E21.257)
  • Publication number: 20110207329
    Abstract: A method of lithography patterning includes forming a mask layer on a material layer and forming a capping layer on the mask layer. The capping layer is a boron-containing layer with a higher resistance to an etching reaction of patterning process of the material layer. By adapting the boron-containing layer as the capping layer, the thickness of the mask layer can be thus reduced. Hence, a better gap filling for forming an interconnect metallization in the material layer could be achieved as well.
    Type: Application
    Filed: February 25, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Po-Cheng SHIH, Kuan-Chen WANG, Chung-Chi KO, Keng-Chu LIN, Tai-Yen PENG, Wen-Kuo HSIEH, Chih-Hao CHEN
  • Patent number: 8003522
    Abstract: A method for forming a semiconductor structure includes the following steps. A hard mask layer is formed over a semiconductor region. The hard mask layer has inner portions that are thinner than its outer portions, and the inner portions define an exposed surface area of the semiconductor region. A portion of the semiconductor region is removed through the exposed surface area of the semiconductor region. The thinner portions of the hard mask layer are removed to expose surface areas of the semiconductor region underlying the thinner portions. An additional portion of the semiconductor region is removed through all exposed surface areas of the semiconductor region thereby forming a trench having an upper portion that is wider than its lower portion.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: August 23, 2011
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Hui Chen, Ihsiu Ho, Stacy W. Hall, Briant Harward, Hossein Paravi
  • Publication number: 20110201201
    Abstract: Methods for forming a nanoperforated graphene material are provided. The methods comprise forming an etch mask defining a periodic array of holes over a graphene material and patterning the periodic array of holes into the graphene material. The etch mask comprises a pattern-defining block copolymer layer, and can optionally also comprise a wetting layer and a neutral layer. The nanoperforated graphene material can consist of a single sheet of graphene or a plurality of graphene sheets.
    Type: Application
    Filed: January 25, 2011
    Publication date: August 18, 2011
    Inventors: Michael S. Arnold, Padma Gopalan, Nathaniel S. Safron, Myungwoong Kim
  • Patent number: 7988470
    Abstract: The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Yan Ye
  • Publication number: 20110165778
    Abstract: A method of depicting a photomask using e-beams includes preparing a photomask having an e-beam resist, depicting the e-beam resist and forming an e-beam resist pattern on the photomask. Depicting the e-beam resist includes irradiating e-beams to an e-beam depiction region without irradiating the e-beams to an e-beam non-depiction region disposed in the e-beam depiction region. The e-beam depiction region and the e-beam non-depiction region are formed using an e-beam resist pattern having the same polarity.
    Type: Application
    Filed: December 21, 2010
    Publication date: July 7, 2011
    Inventors: Jin CHOI, Sang-Hee Lee, Rae-Won Yi
  • Patent number: 7972965
    Abstract: The present invention relates to a process for improved interfacial adhesion of dielectrics using patterned roughing. Improved adhesion strength between layers and substrates can be achieved through increasing the roughness of the interface between the materials. Roughness may including any disturbance of an otherwise generally smooth surface, such as grooves, indents, holes, trenches, and/or the like. Roughing on the interface may be achieved by depositing a material on a surface of the substrate to act as a mask and then using an etching process to induce the roughness. The material, acting as a mask, allows etching to occur on a fine, or sub-miniature, scale below the Scale achieved with a conventional photo mask and lithography to achieve the required pattern roughing. Another material is then deposited on the roughened surface of the substrate, filling in the roughing and adhering to the substrate.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: July 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Edward C. Cooney, III, Vincent McGahay, Thomas M. Shaw, Anthony K. Stamper, Matthew E. Colburn
  • Publication number: 20110159694
    Abstract: A method for fabricating a semiconductor device includes: providing a substrate, forming an insulation layer, an adhesive layer, and a photoresist pattern, etching the adhesive layer using the photoresist pattern as an etch barrier, and wet etching the insulation layer using the etched adhesive layer and the photoresist pattern as etch barriers.
    Type: Application
    Filed: July 9, 2010
    Publication date: June 30, 2011
    Inventors: Young-Bang Lee, Ok-Min Moon
  • Patent number: 7964503
    Abstract: The invention includes semiconductor constructions containing optically saturable absorption layers. An optically saturable absorption layer can be between photoresist and a topography, with the topography having two or more surfaces of differing reflectivity relative to one another. The invention also includes methods of patterning photoresist in which a saturable absorption layer is provided between the photoresist and a topography with surfaces of differing reflectivity, and in which the differences in reflectivity are utilized to enhance the accuracy with which an image is photolithographically formed in the photoresist.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: June 21, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Lucien J. Bissey, William A. Stanton
  • Publication number: 20110121457
    Abstract: A process to produce an airgap on a substrate having a dielectric layer comprises defining lines by lithography where airgaps are required. The lines' dimensions are shrunk by a trimming process (isotropic etching). The tone of the patterns is reversed by applying a planarizing layer which is etched down to the top of the patterns. The photoresist is removed, leading to sub-lithographic trenches which are transferred into a cap layer and eventually into the dielectric between two metal lines. The exposed dielectric is eventually damaged, and is etched out, leading to airgaps between metal lines. The gap is sealed by the pinch-off occurring during the deposition of the subsequent dielectric.
    Type: Application
    Filed: February 6, 2011
    Publication date: May 26, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Maxime Darnon, Anthony D. Lisi, Satya V. Nitta
  • Patent number: 7947189
    Abstract: A vacuum processing method includes mounting a sample to be processed on a sample mounting surface on a sample holder placed in a vacuum container whose inside can be depressurized, feeding a processing gas and electric field to a space above the sample holder inside of the vacuum container to generate plasma, and etching films of a plurality of layers laid over the surface of the sample into a predetermined shape. A heat conducting gas is fed between the sample mounting surface and the backside of the sample, and at the same time, the pressure of the heat conducting gas is changed stepwise in accordance with the progress of the processing of the films of a plurality of layers of the sample.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: May 24, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tooru Aramaki, Tsunehiko Tsubone, Tadamitsu Kanekiyo, Shigeru Shirayone, Hideki Kihara
  • Patent number: 7947569
    Abstract: A method for producing a semiconductor including a material layer. In one embodiment a trench is produced having two opposite sidewalls and a bottom, in a semiconductor body. A foreign material layer is produced on a first one of the two sidewalls of the trench. The trench is filled by epitaxially depositing a semiconductor material onto the second one of the two sidewalls and the bottom of the trench.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: May 24, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Frank Pfirsch, Rudolf Berger, Stefan Sedlmaier, Wolfgang Lehnert, Raimund Foerg
  • Publication number: 20110117744
    Abstract: According to one embodiment, a first pattern is formed at first pattern coverage in a first region on a film to be processed and a second pattern is formed at second pattern coverage in a second region on the film to be processed. During the formation of the second pattern, a second film formed of a block copolymer containing film or the like is formed on the film to be processed and is self-assembled. A plurality of kinds of polymers contained in the self-assembled second film are selectively removed to leave at least one kind of polymer to form the second pattern to bring the second coverage close to the first pattern coverage.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 19, 2011
    Inventor: Shinichi ITO
  • Patent number: 7939436
    Abstract: A method of fabricating a semiconductor device forms a micro-sized gate, and mitigates short channel effects. The method includes a pull-back process to form the gate on a substrate. The method also includes forming inner and outer spacers on the gate that are asymmetric to one another with respect to the gate, and using the spacers in forming junction regions in the substrate on opposite sides of the gate. In particular, the inner and outer spacers are formed on opposite sides of the gate so as to have different thicknesses at the bottom of the gate. The inner and outer junction regions are formed by doping the substrate before and after the spacers are formed. Thus, the inner and outer junction regions have extension regions under the inner and outer spacers, respectively, and the extension regions have different lengths.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: May 10, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Min Kim, Min-Sang Kim, Keun-Hwi Cho, Ji-Myoung Lee
  • Patent number: 7938973
    Abstract: By incorporating a material exhibiting a high adhesion on chamber walls of a process chamber during sputter etching, the defect rate in a patterning sequence on the basis of an ARC layer may be significantly reduced, since the adhesion material may be reliably exposed during a sputter preclean process. The corresponding adhesion layer may be positioned within the ARC layer stack so as to be reliably consumed, at least partially, while nevertheless providing the required optical characteristics. Hence, a low defect rate in combination with a high process efficiency may be achieved.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: May 10, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf Richter, Joerg Hohage, Martin Mazur
  • Publication number: 20110104900
    Abstract: Lithographic patterning methods involve the formation of a (one or more) metal oxide capping layer, which is rinsed with an aqueous alkaline solution as part of the method. The rinse solution does not damage the capping layer, but rather allows for lithographic processing without thinning the capping layer or introducing defects into it. Ammoniated water is a preferred rinse solution, which advantageously leaves behind no nonvolatile residue.
    Type: Application
    Filed: November 2, 2009
    Publication date: May 5, 2011
    Applicant: International Business Machines Corporation
    Inventors: William D. Hinsberg, Gregory Michael Wallraff
  • Publication number: 20110092071
    Abstract: Provided is a method for the effective silylation treatment of a silica-based porous insulating film having a plurality of pores. The method of producing a silylated porous insulating film (204c) includes a process whereby a porous insulating film (204b) having a plurality of pores is formed, and a process wherein a silylating material vapor (210) obtained by vaporizing silylating material containing organic silane compound having a hydrophobic group and polymerization inhibitor which inhibits the auto-polymerization of the organic silane compound is caused to act upon the porous insulating film (204b).
    Type: Application
    Filed: May 26, 2009
    Publication date: April 21, 2011
    Applicants: RENESAS ELECTRONICS CORPORATION, ULVAC INC.
    Inventors: Keizo Kinoshita, Shinichi Chikaki, Takahiro Nakayama
  • Patent number: 7927897
    Abstract: A photoresist composition includes a binder resin, a photo acid generator, an acryl resin having four different types of monomers, and a solvent.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: April 19, 2011
    Assignees: Samsung Electronics Co., Ltd., AZ Electronic Materials (Japan) K.K.
    Inventors: Hi-Kuk Lee, Sang-Hyun Yun, Min-Soo Lee, Deok-Man Kang, Sae-Tae Oh, Jae-Young Choi
  • Publication number: 20110076850
    Abstract: In one embodiment, a method of fabricating a semiconductor device is disclosed. The method can selectively form a core material made of carbon-containing material above a workpiece member. Additionally, the method can form a protective film made of material containing no oxygen so as to cover an upper surface and side faces of the core material. Furthermore, the method can form an oxide film so as to cover the core material and the workpiece member via the protective film. Moreover, the method can shape at least the oxide film into a sidewall in a position lateral to the core material. In addition, the method can etch the workpiece member by using the sidewall as a mask after removal of at least the core material, thereby transferring a pattern of the sidewall to the workpiece member.
    Type: Application
    Filed: June 1, 2010
    Publication date: March 31, 2011
    Inventor: Keiko SUMIOKA
  • Patent number: 7915160
    Abstract: Methods are provided for forming contacts for a semiconductor device. The methods may include depositing various materials, such as polysilicon, nitride, oxide, and/or carbon materials, over the semiconductor device. The methods may also include forming a contact hole and filling the contact hole to form the contact for the semiconductor device.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: March 29, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Cyrus E. Tabery, Srikanteswara Dakshina-Murthy, Chih-Yuh Yang, Bin Yu
  • Patent number: 7910477
    Abstract: Methods for forming dual damascene interconnect structures are provided. The methods incorporate an ashing operation comprising a first ash operation and a second overash operation. The ashing operation is performed prior to etching of an etch stop layer. The operation removes residue from a cavity formed during formation of the interconnect structure and facilitates better CD control without altering the cavity profiles.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: March 22, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jeannette Michelle Jacques, Deepak A. Ramappa
  • Patent number: 7910487
    Abstract: A method of improving high aspect ratio etching by reverse masking to provide a more uniform mask height between the array and periphery is presented. A layer of amorphous carbon is deposited over a substrate. An inorganic hard mask is deposited on the amorphous carbon followed by a layer of photodefinable material which is deposited over the array portion of the substrate. The photodefinable material is removed along with the inorganic hard mask overlaying the periphery. A portion of the amorphous carbon layer is etched in the exposed periphery. The inorganic hard mask is removed and normal high aspect ratio etching continues. The amount of amorphous carbon layer remaining in the periphery results in a more uniform mask height between the array and periphery at the end of high aspect ratio etching. The more uniform mask height mitigates twisting at the edge of the array.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: March 22, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Mark Kiehlbauch
  • Publication number: 20110065276
    Abstract: Apparatus and methods for the manufacture of semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. Disclosed are various single chambers configured to form and/or shape a material layer by oxidizing a surface of a material layer to form an oxide layer; removing at least some of the oxide layer by an etching process; and cyclically repeating the oxidizing and removing processes until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.
    Type: Application
    Filed: March 10, 2010
    Publication date: March 17, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Udayan Ganguly, Joseph M. Ranish, Aaron M. Hunter, Jing Tang, Christopher S. Olsen, Matthew D. Scotney-Castle, Vicky Nguyen, Swaminathan Srinivasan, Wei Liu, Johanes F. Swenberg, Shiyu Sun
  • Patent number: 7883632
    Abstract: A plasma processing apparatus that enables polymer to be removed from an electrically insulated electrode. A susceptor of the plasma processing apparatus is disposed in a substrate processing chamber having a processing space therein. A radio frequency power source is connected to the susceptor. An upper electrode plate is electrically insulated from a wall of the substrate processing chamber and from the susceptor. A DC power source is connected to the upper electrode plate. A controller of the plasma processing apparatus determines a value of a negative DC voltage to be applied to the upper electrode plate in accordance with processing conditions for RIE processing to be carried out.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Yutaka Matsui, Manabu Sato
  • Patent number: 7879726
    Abstract: A method of fabricating a semiconductor device is provided. The method can include forming a hard mask film including lower and upper hard mask films on a substrate in which an active region and an isolation region are defined and patterning the hard mask film to provide a hard mask pattern partially exposing the active region and the isolation region. An etchant can be applied to the active and isolation regions using the hard mask pattern as an etching mask to form a trench in the active region of the substrate while avoiding substantially etching the isolation region exposed to the etchant and a gate can be formed on the trench.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: February 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heung-Sik Park, Jun-Ho Yoon, Cheol-Kyu Lee, Joon-Soo Park
  • Patent number: 7867913
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist over a substrate where an etch target layer is formed, doping at least one impurity selected from group III elements and group V elements, of the periodic table, into the first photoresist, forming a photoresist pattern over the first photoresist, performing a dry etching process using the photoresist pattern to expose the first photoresist, etching the first photoresist by an oxygen-based dry etching to form a first photoresist pattern where a doped region is oxidized, and etching the etch target layer using the first photoresist pattern as an etch barrier.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Publication number: 20100330808
    Abstract: In a replacement gate approach, the dielectric cap layers of the gate electrode structures are removed in a separate removal process, such as a plasma assisted etch process, in order to provide superior process conditions during the subsequent planarization of the interlayer dielectric material for exposing the sacrificial gate material. Due to the superior process conditions, the selective removal of the sacrificial gate material may be accomplished with enhanced uniformity, thereby also contributing to superior stability of transistor characteristics.
    Type: Application
    Filed: June 28, 2010
    Publication date: December 30, 2010
    Inventors: Ralf Richter, Frank Seliger, Martin Mazur
  • Patent number: 7858458
    Abstract: A method of manufacturing a memory device includes an nMOS region and a pMOS region in a substrate. A first gate is defined within the nMOS region, and a second gate is defined in the pMOS region. Disposable spacers are simultaneously defined about the first and second gates. The nMOS and pMOS regions are selectively masked, one at a time, and LDD and Halo implants performed using the same masks as the source/drain implants for each region, by etching back spacers between source/drain implant and LDD/Halo implants. All transistor doping steps, including enhancement, gate and well doping, can be performed using a single mask for each of the nMOS and pMOS regions. Channel length can also be tailored by trimming spacers in one of the regions prior to source/drain doping.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Suraj Mathew
  • Patent number: 7851384
    Abstract: Methods are provided for processing a substrate comprising a bilayer barrier film thereon. In one aspect, a method comprises depositing a first barrier layer, depositing a second barrier layer on the first barrier layer, depositing a dielectric layer on the bilayer barrier film formed by the first barrier layer and the second barrier layer, and ultraviolet curing the dielectric layer. In another aspect, a method comprises depositing a first barrier layer, depositing a second barrier layer on the first barrier layer, depositing a dielectric layer on the bilayer barrier film formed by the first barrier layer and the second barrier layer, and curing the dielectric layer with an electron beam treatment.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: December 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yijun Liu, Huiwen Xu, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Publication number: 20100285668
    Abstract: By selectively providing a buffer layer having an appropriate thickness, height differences occurring during the deposition of an SACVD silicon dioxide may be reduced during the formation of an interlayer dielectric stack of advanced semiconductor devices. The buffer material may be selectively provided after the deposition of contact etch stop layers of both types of internal stress or may be provided after the deposition of one type of dielectric material and may be used during the subsequent patterning of the other type of dielectric stop material as an efficient etch stop layer.
    Type: Application
    Filed: July 22, 2010
    Publication date: November 11, 2010
    Inventors: Ralf Richter, Robert Seidel, Carsten Peters
  • Patent number: 7829369
    Abstract: Some embodiments include methods of forming openings in which a metal-containing structure is formed over a region of a semiconductor substrate. A patterned metal-containing material is formed over the metal-containing structure, with the metal-containing material having a gap extending therethrough. An entirety of the metal-containing structure is removed through the gap to leave an opening over the region of the semiconductor substrate. The region of the semiconductor substrate may comprise CMOS sensors, and one or both of filter material and microlens material may be formed within the opening.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: November 9, 2010
    Assignee: Aptina Imaging Corporation
    Inventors: Daniel Knudsen, James Chapman
  • Patent number: 7808077
    Abstract: A semiconductor device is composed of: an interconnect made of a first conductive film and a second conductive film that are stacked in sequence from the interconnect underside on an insulating film formed on a substrate; and a capacitor composed of a lower capacitor electrode made of the first conductive film, a dielectric film formed on the lower capacitor electrode, and an upper capacitor electrode made of the second conductive film and formed on the dielectric film.
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: October 5, 2010
    Assignee: Panasonic Corporation
    Inventors: Kyoko Egashira, Shin Hashimoto
  • Publication number: 20100244180
    Abstract: A method of a fabricating a semiconductor device includes providing a substrate having a first region and a second region. A pad layer is formed overlying the substrate in both the first region and the second region. A mask layer is then formed overlying the pad layer. Thereafter, the mask layer, the pad layer and the substrate are patterned to form a plurality of first trenches in the first region and a plurality of second trenches in the second region. A trimming process is then performed on the mask layer to remove a portion of the mask layer. An insulation layer is formed over the substrate and fills the plurality of the first trenches and the plurality of the second trenches. Ultimately, a planarization process is performed on the insulation layer.
    Type: Application
    Filed: March 25, 2009
    Publication date: September 30, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yung-Tai Hung, Chin-Ta Su, Ta-Hung Yang
  • Patent number: 7790622
    Abstract: Semiconductor fabrication processes are provided for removing sidewall spacers from gate structures while mitigating or otherwise preventing defect mechanisms such as damage to metal silicide structures or otherwise impeding or placing limitations on subsequent process flows.
    Type: Grant
    Filed: July 14, 2007
    Date of Patent: September 7, 2010
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Kyoung Woo Lee, Ja Hum Ku, Jun Jung Kim, Chong Kwang Chang, Min-Chul Sun, Jong Ho Yang, Thomas W. Dyer
  • Patent number: 7777276
    Abstract: A drive strength tunable FinFET, a method of drive strength tuning a FinFET, a drive strength ratio tuned FinFET circuit and a method of drive strength tuning a FinFET, wherein the FinFET has either at least one perpendicular and at least one angled fin or has at least one double-gated fin and one split-gated fin.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kerry Bernstein, Edward J. Nowak, BethAnn Rainey
  • Patent number: 7772112
    Abstract: A method of forming an insulating layer on an conductive layer; forming a first mask layer and a second mask layer on the insulating layer; forming a resist layer on the second mask layer; patterning the resist layer; patterning the second mask layer by using the resist layer as a mask; etching the first mask layer halfway through its thickness by using the resist layer and the second mask layer as a mask; removing the resist layer; etching a remaining portion of the first mask layer using the second mask layer as a mask; forming an interconnect groove by etching the insulating layer using the first mask layer as a mask; and forming an electrically conductive material into the interconnect groove, thereby forming an interconnect layer connected to the conductive layer.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: August 10, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yoshihisa Iba
  • Publication number: 20100197139
    Abstract: A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer.
    Type: Application
    Filed: April 14, 2010
    Publication date: August 5, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Cha-won Koh, Han-ku Cho, Jeong-lim Nam, Gi-sung Yeo, Joon-soo Park, Ji-young Lee
  • Patent number: 7759242
    Abstract: A method of fabricating an integrated circuit, including the steps of forming a first mask layer in the form of a hard mask layer including a plurality of first openings and a second mask layer with at least one second opening which at least partially overlaps with one of the first openings, wherein the at least one second opening is generated lithographically; and at least two neighboring first openings are distanced from each other with a center to center pitch smaller than the resolution limit of the lithography used for generating the second opening.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: July 20, 2010
    Assignee: Qimonda AG
    Inventors: Steffen Meyer, Rolf Weis, Burkhard Ludwig, Christoph Noelscher
  • Patent number: 7745325
    Abstract: A wiring structure of a semiconductor device may include an insulation interlayer on a substrate, the insulation interlayer having a linear first trench having a first width and a linear second trench having a second width, the linear second trench being in communication with a lower portion of the linear first trench, the first width being wider than the second width, and a conductive layer pattern in the linear first and second trenches.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: June 29, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Koh, Byung-Hong Chung, Won-Jin Kim, Hyun Park, Ji-Young Min
  • Patent number: 7745339
    Abstract: A method for forming a fine pattern of a semiconductor device comprises the steps of: forming a first hard mask pattern having a width of W1 and a thickness of T1 over an underlying layer formed over a semiconductor substrate; forming a second hard mask film with a planar type over the resulting structure and planarizing the second hard mask s to expose the first hard mask pattern; removing the first hard mask pattern by a thickness T2 from the top surface (0<T2<T1); performing a trimming process on the second hard mask film to form a second hard mask pattern having a slope side wall; performing a second trimming process on the second hard mask pattern to separate the second hard mask pattern from the first hard mask pattern and form a third hard mask pattern having a width of W2; and patterning the underlying layer using the first hard mask pattern and the third hard mask pattern as etching masks.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: June 29, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7709363
    Abstract: A method for manufacturing a semiconductor device including a first conductive type impurity region formed by introducing a first conductive type impurities in a first region of a semiconductor region and heating the first region, a second conductive type impurity region formed by introducing a second conductive type impurities in a second region of the semiconductor region and heating the second region, the method including covering the second region with a mask and then introducing the first conductive type impurities in a surface of the first region, removing the mask by a process using gas including oxygen while forming an oxide film on the surface of the first region by the processing using the gas including the oxygen, and introducing the second conductive type impurities in a surface of the second region by using the oxide film as a mask.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: May 4, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kyoichi Suguro
  • Publication number: 20100099232
    Abstract: Some embodiments include methods of forming capacitors. Storage nodes are formed within a material. The storage nodes have sidewalls along the material. Some of the material is removed to expose portions of the sidewalls. The exposed portions of the sidewalls are coated with a substance that isn't wetted by water. Additional material is removed to expose uncoated regions of the sidewalls. The substance is removed, and then capacitor dielectric material is formed along the sidewalls of the storage nodes. Capacitor electrode material is then formed over the capacitor dielectric material. Some embodiments include methods of utilizing a silicon dioxide-containing masking structure in which the silicon dioxide of the masking structure is coated with a substance that isn't wetted by water.
    Type: Application
    Filed: October 16, 2008
    Publication date: April 22, 2010
    Inventors: Niraj Rana, Nishant Sinha, Prashant Raghu, Jim Hofmann, Neil Greeley
  • Patent number: 7700430
    Abstract: A phase changeable random access memory (PRAM) and methods for manufacturing the same. An example unit cell of a non-volatile memory, such as a PRAM, includes a MOS transistor, connected to an address line and a data line, where the MOS transistor receives a voltage from the data line. The unit cell further includes a phase change material for changing phase depending on heat generated by the voltage and a top electrode, connected to a substantially ground voltage.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: April 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-Guil Yang, Hong-Sik Jeong, Young-Nam Hwang
  • Patent number: 7691736
    Abstract: Embodiments of the invention provide a semiconductor device having dielectric material and its method of manufacture. A method comprises a short (?2 sec) flash activation of an ILD surface followed by flowing a precursor such as silane, DEMS, over the activated ILD surface. The precursor reacts with the activated ILD surface thereby selectively protecting the ILD surface. The protected ILD surface is resistant to plasma processing damage. The protected ILD surface eliminates the requirement of using a hard mask to protect a dielectric from plasma damage.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 6, 2010
    Assignee: Infineon Technologies AG
    Inventors: Michael Beck, John A. Fitzsimmons, Karl Hornik, Darryl Restaino
  • Publication number: 20100072561
    Abstract: A micro-electro-mechanical system (MEMS) device includes a substrate, having a first side and second side, the second side has a cavity and a plurality of venting holes in the substrate at the second side with connection to the cavity. However, the cavity is included in option without absolute need. A structural dielectric layer has a dielectric structure and a conductive structure in the dielectric structure. The structural dielectric layer has a chamber in connection to the cavity by the venting holes. A suspension structure layer is formed above the chamber. An end portion is formed in the structural dielectric layer in fix position. A diaphragm has a first portion of the diaphragm fixed on the suspension structure layer while a second portion of the diaphragm is free without being fixed.
    Type: Application
    Filed: September 22, 2008
    Publication date: March 25, 2010
    Applicant: SOLID STATE SYSTEM CO., LTD.
    Inventors: Chien-Hsing Lee, Tsung-Min Hsieh
  • Publication number: 20100068886
    Abstract: A method of fabricating a differential doped solar cell is described. The method includes the following steps. First, a substrate is provided. A doping process is conducted thereon to form a doped layer. A heavy doping portion of the doped layer is partially or fully removed. Subsequently, an anti-reflection coating layer is formed thereon. A metal conducting paste is printed on the anti-reflection coating layer and is fired to form the metal electrodes for the solar cell.
    Type: Application
    Filed: February 10, 2009
    Publication date: March 18, 2010
    Inventors: Cheng-Yeh YU, Ming-Chin Kuo, Nai-Tien Ou, Tien-Szu Chen
  • Patent number: 7678704
    Abstract: To form a semiconductor device, an insulating layer is formed over a conductive region and a pattern transfer layer is formed over the insulating layer. The pattern transfer layer is patterned in the reverse tone of a layout of recesses to be formed in the insulating layer such that the pattern transfer layer remains over regions where the recesses are to be formed. A mask material is formed over the insulating layer and is aligned with the pattern transfer layer. Remaining portions of the pattern transfer layer are removed and recesses are etched in the insulating layer using the mask material as a mask.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: March 16, 2010
    Assignee: Infineon Technologies AG
    Inventors: Veit Klee, Roman Knoefler, Uwe Paul Schroeder
  • Publication number: 20100062603
    Abstract: Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. In some embodiments, a semiconductor device may include a floating gate having a first width proximate a base of the floating gate that is greater than a second width proximate a top of the floating gate. In some embodiments, a method of shaping a material layer may include (a) oxidizing a surface of a material layer to form an oxide layer at an initial rate; (b) terminating formation of the oxide layer when the oxidation rate is about 90% or below of the initial rate; (c) removing at least some of the oxide layer by an etching process; and (d) repeating (a) through (c) until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.
    Type: Application
    Filed: September 11, 2009
    Publication date: March 11, 2010
    Inventors: Udayan Ganguly, Yoshita Yokota, Jing Tang, Sunderraj Thirupapuliyur, Christopher Sean Olsen, Shiyu Sun, Tze Wing Poon, Wei Liu, Johanes Swenberg, Vicky U. Nguyen, Swaminathan Srinivasan, Jacob Newman
  • Publication number: 20100048024
    Abstract: A manufacturing method of semiconductor device comprises: sequentially laminating a third mask layer, a second mask layer, and a first mask layer on a processed layer; forming a fourth mask layer on the first mask layer; processing the first mask layer so as to have a line pattern form using the fourth mask layer as a mask; removing the first mask layer; processing the second mask layer so as to have a pair of line pattern forms using the pair of sidewall layers as a mask; forming a fifth mask layer on the third mask layer; forming a pair of opening portions in the third mask layer using the fifth mask layer as a mask; and forming a pair of groove portions on the processed layer using the third mask layer as a mask.
    Type: Application
    Filed: August 21, 2009
    Publication date: February 25, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: TAKASHI SUGIMURA
  • Patent number: 7662696
    Abstract: According to the present invention, an oxide film with the film quality almost equivalent to that of the thermal oxide can be formed by the low-temperature treatment. After removing an insulator on the active region of the substrate which constitutes a semiconductor wafer, an insulator made of, for example, silicon oxide is deposited on the main surface of the semiconductor wafer by the low pressure CVD method. This insulator is a film to form a gate insulator of MISFET in a later step. Subsequently, a plasma treatment is performed in an atmosphere containing oxygen (oxygen plasma treatment) to the insulator in the manner as schematically shown by the arrows. By so doing, the film quality of the insulator formed by the CVD method can be improved to the extent almost equivalent to that of the insulator formed of the thermal oxide.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: February 16, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Atsushi Hiraiwa, Satoshi Sakai, Dai Ishikawa, Yoshihiro Ikeda
  • Publication number: 20100012989
    Abstract: A semiconductor device, and a method of fabricating the semiconductor device, which is able to prevent a leaning phenomenon from occurring between the adjacent storage nodes. The method includes forming a plurality of multi-layered pillar type storage nodes each of which is buried in a plurality of mold layers, wherein the uppermost layers of the multi-layered pillar type storage nodes are fixed by a support layer, etching a portion of the support layer to form an opening, and supplying an etch solution through the opening to remove the multiple mold layers. A process of depositing and etching the mold layer by performing the process 2 or more times to form the multi-layered pillar type storage node. Thus, the desired capacitance is sufficiently secured and the leaning phenomenon is avoided between adjacent storage nodes.
    Type: Application
    Filed: December 30, 2008
    Publication date: January 21, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Kee-Jeung LEE, Jae-Sung ROH, Deok-Sin KIL, Young-Dae KIM, Jin-Hyock KIM, Kwan-Woo DO, Kyung-Woong PARK, Jeong-Yeop LEE