On Silicon Body (epo) Patents (Class 257/E21.279)
  • Patent number: 7468326
    Abstract: A wafer is provided and loaded in a reaction chamber. Subsequently, the wafer is lifted up, and a dry clean process is performed on the wafer to clean the front side, the back side, and the bevel of the wafer. Following that, a deposition process is performed on the wafer. The dry clean process and the deposition process are carried out in an in-situ manner.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: December 23, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Te Chen, Yi-Ching Wu, Chien-Tung Huang
  • Publication number: 20080290473
    Abstract: A method for manufacturing a semiconductor device, in which a substrate is disposed in a chamber and a fluorine-containing silicon oxide film is formed on the substrate using a plasma CVD process. The fluorine-containing silicon oxide film is formed such that the release of fluorine from this silicon oxide layer is suppressed. According to this semiconductor device manufacturing method, a stable semiconductor device can be provided such that the device includes a fluorine-containing silicon oxide film (FSG film) at which the release of fluorine is suppressed, and thus peeling does not occur.
    Type: Application
    Filed: July 31, 2008
    Publication date: November 27, 2008
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Hiroomi Tsutae
  • Patent number: 7456116
    Abstract: A method to form a silicon oxide layer, where the method includes the step of providing a continuous flow of a silicon-containing precursor to a chamber housing a substrate, where the silicon-containing precursor is selected from TMOS, TEOS, OMTS, OMCTS, and TOMCATS. The method may also include the steps of providing a flow of an oxidizing precursor to the chamber, and causing a reaction between the silicon-containing precursor and the oxidizing precursor to form a silicon oxide layer. The method may further include varying over time a ratio of the silicon-containing precursor:oxidizing precursor flowed into the chamber to alter a rate of deposition of the silicon oxide on the substrate.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: November 25, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Shan Wong, Xinyun Xia, Vikash Banthia, Won B. Bang, Yen-Kun V. Wang, Zheng Yuan
  • Patent number: 7446061
    Abstract: A semiconductor substrate with a groove is placed in a plasma generating reaction chamber. Silicon, oxygen and hydrogen containing gases are introduced into the reaction chamber as process gases. A ratio of a gas flow of the hydrogen containing gas except the silicon containing gas to a total gas flow of the silicon containing gas and the oxygen containing gas defines a first gas-flow ratio. A ratio of a gas flow of the oxygen containing gas to that of the silicon containing gas defines a second gas-flow ratio. The first and second gas-flow ratios establish a linear function for a critical condition. A cluster formation condition is set up by relatively increasing the first gas-flow ratio while relatively decreasing the second gas-flow ratio with respect to the critical condition. A cluster suppression condition is also set up by relatively decreasing the first gas-flow ratio while relatively increasing the second gas-flow ratio with respect to the critical condition.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: November 4, 2008
    Assignee: Kabushiki Kaihsa Toshiba
    Inventors: Hiroshi Sato, Rempei Nakata, Yukio Nishiyama, Taketo Matsuda
  • Patent number: 7442656
    Abstract: A silicon oxide film is formed on a target substrate by CVD, in a process field configured to be selectively supplied with a first process gas containing a chlorosilane family gas, a second process gas containing a Cl-replacing gas, and a third process gas containing an oxidizing gas. This method alternately includes first to sixth steps. The first, third, and fifth steps perform supply of the first, second, and third process gases, respectively, while stopping supply of the other two process gases. Each of the second, fourth, and sixth steps stops supply of the first to third process gases. The third and fifth steps include an excitation period of supplying the second and third process gases, respectively, to the process field while exciting the respective process gases by an exciting mechanism.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: October 28, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 7416988
    Abstract: A method of fabricating a semiconductor device includes the steps of modifying a damaged layer containing carbon and formed at a semiconductor surface by exposing the damaged layer to oxygen radicals to form a modified layer, and removing the modified layer by a wet etching process, wherein the modifying step is conducted by adding an active specie of an element that would obstruct formation of double bond between a Si atom and an oxygen atom by causing a chemical bond with Si atoms on the semiconductor surface.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: August 26, 2008
    Assignee: Fujitsu Limited
    Inventor: Hikaru Kokura
  • Patent number: 7387926
    Abstract: A method for manufacturing a CMOS image sensor is provided. The method includes forming a gate electrode on a semiconductor layer having defined regions of a photodiode region and a logic region, such that a gate oxide film is interposed between the semiconductor layer and the gate electrode; forming sidewall insulating films at both sides of the gate electrode, followed by forming a salicide-preventing film over an overall surface of the gate electrode and insulating films; removing the salicide-preventing film formed in the logic region; and removing a portion of the sidewall insulating films exposed by removing the salicide-preventing film, thereby exposing an upper side surface of the gate electrode.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: June 17, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Chang Hun Han
  • Patent number: 7388228
    Abstract: Thin film transistors for a display device each include a semiconductor layer made of polysilicon having a channel region, drain and source regions at both sides of the channel region and doped with impurity of high concentration, and an LDD region arranged either between the drain region and the channel region or between the source region and the channel region and doped with impurity of low concentration. An insulation film is formed over an upper surface of the semiconductor layer and has a film thickness which decreases in a step-like manner as it extends to the channel region, the LDD region, the drain and the source regions; and a gate electrode is formed over the channel region through the insulation film. Such a constitution can enhance the numerical aperture and can suppress the magnitude of stepped portions in a periphery of the thin film transistor.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: June 17, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Hideo Tanabe, Shigeo Shimomura, Makoto Ohkura, Masaaki Kurita, Yasukazu Kimura, Takao Nakamura
  • Patent number: 7354873
    Abstract: A method for forming an insulation film having filling property on a semiconductor substrate by plasma reaction includes: vaporizing a silicon-containing hydrocarbon having a Si—O bond compound to provide a source gas; introducing the source gas and a carrier gas without an oxidizing gas into a reaction space for plasma CVD processing; and forming an insulation film constituted by Si, O, H, and optionally C or N on a substrate by plasma reaction using a combination of low-frequency RF power and high-frequency RF power in the reaction space. The plasma reaction is activated while controlling the flow of the reaction gas to lengthen a residence time, Rt, of the reaction gas in the reaction space.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: April 8, 2008
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Nobuo Matsuki, Seijiro Umemoto
  • Patent number: 7351643
    Abstract: Even though photolithography with a diameter of 0.20 ?m or less is employed, a contact hole having a tapered shape with a required width including a positioning tolerance can be formed in a narrower gap between the gate electrodes. A method forms a minute contact hole between gate electrodes of a semiconductor device, which has a silicon dioxide film disposed at an upper layer of the semiconductor device and a BPSG film disposed below the silicon dioxide film. The BPSG film has gate electrodes therein, and no silicon nitride film is disposed on top and side surfaces of the gate electrodes, and no silicon nitride film is disposed above the gate electrodes. The silicon dioxide film is etched by a mixed gas of CF4, O2 and Ar at a substrate temperature of at least 40° C. The BPSG film is etched by over-etching of the silicon dioxide film so that a W-like shape is formed in the BPSG film.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 1, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Takeshi Nagao
  • Patent number: 7352053
    Abstract: A method of manufacturing a mechanically robust insulating layer, including forming a low-k dielectric layer having a first dielectric constant on a substrate and forming a carbon nitride cap layer on the low-k dielectric layer, the insulating layer thereby having a second dielectric constant that is less than the first dielectric constant.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: April 1, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hui Lin Chang
  • Publication number: 20080061439
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organo silane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organo silane film is produced by reaction of methyl silane, CH3SiH3, and N2O.
    Type: Application
    Filed: October 23, 2007
    Publication date: March 13, 2008
    Inventors: Wai-Fan Yau, David Cheung, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Yu
  • Patent number: 7329947
    Abstract: When a two-division structure heat treatment jig for semiconductor substrate that includes a silicon first jig that comes into direct contact with a semiconductor substrate that is heat treated and supports the semiconductor substrate, and a second jig (holder) that holds the first jig and is mounted on a heat treatment boat is adopted as a heat treatment boat of a vertical heat treatment furnace, the stress concentrated during the heat treatment on a particular portion of the semiconductor substrate can be reduced; in the case of a semiconductor substrate large in the tare stress and having an outer shape of 300 mm being heat treated, or even in the case of the heat treatment being carried out under very high temperature conditions, the slips can be suppressed from occurring. The present invention can be widely applied as a stable heat treatment method of semiconductor substrates.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: February 12, 2008
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Naoshi Adachi, Kazushi Yoshida, Yoshiro Aoki
  • Patent number: 7320943
    Abstract: Disclosed is a capacitor with a dielectric layer having a low equivalent oxide thickness compared to a HfO2 layer and capable of decreasing a level of a leakage current incidence and a method for fabricating the same. Particularly, the capacitor includes: a bottom electrode; a Hf1-xLaxO layer on the bottom electrode; and a top electrode on the Hf1-xLaxO layer, wherein x is an integer. The method includes the steps of: forming at least one bottom electrode being made of polysilicon doped with impurities; nitriding a surface of the bottom electrode; depositing the amorphous Hf1-xLaxO layer on the nitrided surface of the bottom electrode; performing a thermal process for crystallizing the amorphous Hf1-xLaxO layer and removing impurities existed within the Hf1-xLaxO layer; nitriding a surface of the crystallized Hf1-xLaxO layer; and forming the top electrode being made of polysilicon doped with impurities on the nitrided surface of the crystallized Hf1-xLaxO layer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 22, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kee-Jeung Lee
  • Patent number: 7312127
    Abstract: The present invention provides a method of forming a high-k dielectric layer on a semiconductor wafer. A metal silicate dielectric layer is initially deposited on the wafer. A dopant having dissociable oxygen is introduced into the metal silicate on the wafer. According to one embodiment the metal silicate comprises a group IV metal and the dopant is an oxide of one of an alkaline metal and an alkaline earth metal. According to another embodiment the metal silicate comprises a group III metal.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: December 25, 2007
    Assignee: LSI Corporation
    Inventors: Wai Lo, Verne Hornback, Wilbur G. Catabay, Wei-Jen Hsia, Sey-Shing Sun
  • Publication number: 20070287250
    Abstract: A semiconductor device having a self-aligned contact hole is formed by providing a side wall oxide film on a gate electrode, covering the gate electrode and the side wall oxide film by an oxide film and further covering the oxide film by a nitride film, wherein the oxide film is formed by a plasma CVD process with a reduced plasma power such that the H2O content in the oxide film is less than about 2.4 wt %.
    Type: Application
    Filed: May 14, 2007
    Publication date: December 13, 2007
    Applicant: FUJITSU LIMITED
    Inventors: Kousuke Suzuki, Katsuyuki Karakawa
  • Patent number: 7304004
    Abstract: A method of forming a dielectric stack on a pre-treated surface. The method comprises pre-cleaning a semiconductor wafer to remove native oxide, such as by applying hydroflouric acid to form an HF-last surface, pre-treating the HF-last surface with ozonated deionized water, forming a dielectric stack on the pre-treated surface and providing a flow of NH3 in a process zone surrounding the wafer. Alternately, the method includes pre-treating the HF-last surface with NH3, forming the stack after the pre-treating, and providing a flow of N2 in a process zone surrounding the wafer after the forming. The method also includes pre-treating the HF-last surface using an in-situ steam generation process, forming the stack on the pre-treated surface, and annealing the wafer after the forming.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: December 4, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Craig R. Metzner, Shreyas S. Kher, Shixue Han
  • Patent number: 7297640
    Abstract: A two-step high density plasma-CVD process is described wherein the argon content in the film is controlled by using two different argon concentrations in the argon/silane/oxygen gas mixture used for generating the high density plasma. The first step deposition uses high argon concentration and low sputter etch-to-deposition (E/D) ratio. High E/D ratio maintains the gap openings without necking. In the second step, a lower argon concentration and lower E/D ratio are used. Since observed metal defects are caused by argon diffusion in the top 200-300 nm of the HDP-CVD film, by controlling argon concentration in the top part of the film (i.e. second step deposition) to a low value, a reduced number of metal defects are achieved.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: November 20, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Xie, Hoon Lian Yap, Chuin Boon Yeap, Weoi San Lok
  • Patent number: 7294583
    Abstract: A method for depositing conformal dielectric films uses alkoxy silanol or silanediol precursors and oxidizing and/or hydrolyzing agents. The method produces a material with liquid-like flow properties capable of achieving improved high aspect ratio gap fill more efficiently than previous methods using alkoxysilanes since fewer oxidation reactions are required. In addition, the dielectric can be formed with or without a metal-containing catalyst/nucleation layer, so that metal content in the dielectric film can be avoided, if desired. Seams and voids are therefore avoided in gaps filled more efficiently with higher quality dielectric. In addition, the films as dense as deposited, reducing or eliminating the need for post-deposition processing (e.g., annealing).
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: November 13, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Ron Rulkens, George D. Papasouliotis, Dennis M. Hausmann, Raihan M. Tarafdar, Bunsen Nie, Adrianne K. Tipton, Jeff Tobin
  • Patent number: 7294588
    Abstract: A process is provided for depositing an silicon oxide film on a substrate disposed in a process chamber. A process gas that includes a halogen source, a fluent gas, a silicon source, and an oxidizing gas reactant is flowed into the process chamber. A plasma having an ion density of at least 1011 ions/cm3 is formed from the process gas. The silicon oxide film is deposited over the substrate with a halogen concentration less than 1.0%. The silicon oxide film is deposited with the plasma using a process that has simultaneous deposition and sputtering components. The flow rate of the halogen source to the process chamber to the flow rate of the silicon source to the process chamber is substantially between 0.5 and 3.0.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: November 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: M. Ziaul Karim, DongQing Li, Jeong Soo Byun, Thanh N. Pham
  • Patent number: 7247939
    Abstract: A method for forming a metal filled semiconductor feature with improved structural stability including a semiconductor wafer having an anisotropically etched opening formed through a plurality of dielectric insulating layers revealing a first etching resistant layer overlying a conductive area; a plurality of dielectric insulating layers sequentially stacked to have alternating etching rates to a preferential etching process; subjecting the anisotropically etched opening to the preferential etching process whereby the sidewalls of the anisotropically etched opening are preferentially etched to produce etched dielectric insulating layers to form roughened sidewall surfaces; anisotropically etching through the etching resistant layer to reveal the conductive area; and, filling the anisotropically etched opening with a metal to form a metal filled semiconductor feature.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: July 24, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Chen Huang, Chao-Chen Chen
  • Patent number: 7205248
    Abstract: Methods of forming an oxide layer such as high aspect ratio trench isolations, and treating the oxide substrate to remove carbon, structures formed by the method, and devices and systems incorporating the oxide material are provided.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Weimin Li
  • Patent number: 7205249
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10–250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organosilane film is produced by reaction of methylsilane, CH3SiH3, or dimethylsilane, (CH3)2SiH2, and nitrous oxide, N2O, at an RF power level from about 10 to 200 W or a pulsed RF power level from about 20 to 250 W during 10–30% of the duty cycle.
    Type: Grant
    Filed: October 5, 2004
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert R. Mandal
  • Patent number: 7199061
    Abstract: A method of depositing a gate dielectric layer for a thin film transistor is provided. The gate dielectric layer is deposited using a plasma enhanced deposition with a gas mixture comprising a silicon and chlorine containing compound.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: April 3, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Beom Soo Park, Quanyuan Shang
  • Patent number: 7144783
    Abstract: In a metal gate replacement process, a cup-shaped gate metal oxide dielectric may have vertical portions that may be exposed to a reduction reaction. As a result of the reduction reaction, the vertical portions may be converted to metal, which adds to the existing gate electrode. In some cases, removing the vertical dielectric portions reduces fringe capacitance and may also advantageously slightly increased underdiffusion without adding heat, in some embodiments.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventors: Suman Datta, Justin K. Brask, Jack Kavalieros, Mark L. Doczy, Matthew Metz, Robert S. Chau
  • Patent number: 7115501
    Abstract: A method for fabricating an integrated circuit device, an electrically conductive substrate being provided, an insulation layer being deposited on the substrate, the insulation layer being etched in structures, a contact-making layer being deposited on the patterned insulation layer and on the substrate in depressions which have first and second lateral dimensions, the contact-making layer being etched back in such a way that the contact-making layer is preserved in the structures with the depressions which have first lateral dimensions of the order of magnitude of the structure depth of the insulation layer and the contact-making layer is removed in the structures with depressions which have second lateral dimensions significantly greater than the structure depth of the insulation layer.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: October 3, 2006
    Assignee: Infineon Technologies AG
    Inventors: Uwe Kahler, Dirk Offenberg
  • Patent number: RE40507
    Abstract: A method of forming a pre-metal dielectric film having good as deposited gapfill characteristics, as well as good mobile-ion gettering capability. The method involves first depositing a layer of high-ozone undoped silicon dioxide film having a high ozone/TEOS volume ratio. Then, a low-ozone doped BPSG film is deposited over the high-ozone undoped silicon dioxide layer. The film layers are heat treated to densify the film, and then the top layer is planarized using known planarization techniques to a thickness that allows for adequate mobile-ion gettering.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: September 16, 2008
    Assignee: Atmel Corporation
    Inventors: Amit S. Kelkar, Michael D. Whiteman