With Lightly Doped Drain Selectively Formed At Side Of Gate (epo) Patents (Class 257/E21.437)
  • Publication number: 20090273041
    Abstract: A transistor is provided that includes a silicon layer including a source region and a drain region, a gate stack disposed on the silicon layer between the source region and the drain region, and a sidewall spacer disposed on sidewalls of the gate stack. The gate stack includes a first layer of high dielectric constant material, a second layer comprising a metal or metal alloy, and a third layer comprising silicon or polysilicon. The sidewall spacer includes a high dielectric constant material and covers the sidewalls of at least the second and third layers of the gate stack. Also provided is a method for fabricating such a transistor.
    Type: Application
    Filed: May 1, 2008
    Publication date: November 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Leland CHANG, Isaac LAUER, Jeffrey W. SLEIGHT
  • Publication number: 20090273042
    Abstract: A transistor is provided. The transistor includes a silicon layer including a source region and a drain region. A gate stack is disposed on the silicon layer between the source region and the drain region. The gate stack comprises a first layer of a high dielectric constant material, a second layer comprising a metal or metal alloy, and a third layer comprising silicon or polysilicon. A lateral extent of the second layer of the gate stack is substantially greater than a lateral extent of the third layer of the gate stack. Also provided are methods for fabricating such a transistor.
    Type: Application
    Filed: May 1, 2008
    Publication date: November 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Leland CHANG, Isaac LAUER, Jeffrey W. SLEIGHT
  • Publication number: 20090261426
    Abstract: A disposable structure displaced from an edge of a gate electrode and a drain region aligned to the disposable structure is formed. Thus, the drain region is self-aligned to the edge of the gate electrode. The disposable structure may be a disposable spacer, or alternately, the disposable structure may be formed simultaneously with, and comprise the same material as, a gate electrode. After formation of the drain regions, the disposable structure is removed. The self-alignment of the drain region to the edge of the gate electrode provides a substantially constant drift distance that is independent of any overlay variation of lithographic processes.
    Type: Application
    Filed: April 17, 2008
    Publication date: October 22, 2009
    Applicant: International Business Machines Corporation
    Inventors: Natalie B. Feilchenfeld, Jeffrey P. Gambino, Xuefeng Liu, Benjamin T. Voegeli, Steven H. Voldman, Michael J. Zierak
  • Patent number: 7601598
    Abstract: The present invention teaches a method of forming a MOSFET transistor having a silicide gate which is not subject to problems produced by etching a metal containing layer when forming the gate stack structure. A gate stack is formed over a semiconductor substrate comprising a gate oxide layer, a conducting layer, and a first insulating layer. Sidewall spacers are formed adjacent to the sides of the gate stack structure and a third insulating layer is formed over the gate stack and substrate. The third insulating layer and first insulating layer are removed to expose the conducting layer and, at least one unetched metal-containing layer is formed over and in contact with the conducting layer. The gate stack structure then undergoes a siliciding process with different variations to finally form a silicide gate.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: October 13, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Werner Juengling, Richard H. Lane
  • Patent number: 7598146
    Abstract: A semiconductor structure comprises a silicon substrate of a first conductivity type including wells of a second conductivity type formed on a surface thereof. The wells may be laterally isolated by shallow trench isolation. Transistors are formed in the wells by first forming several chemically distinct layers. Anisotropic etching then forms openings in a top one of the layers. A blanket dielectric layer is formed in the openings and on the layers. Anisotropic etching removes portions of the blanket dielectric layer from planar surfaces of the substrate but not from sidewalls of the openings to form dielectric spacers separated by gaps within the openings. Gate oxides are formed by oxidation of exposed areas of the substrate. Ion implantation forms channels beneath the gate oxides. Polysilicon deposition followed by chemical-mechanical polishing defines gates in the gaps. The chemically distinct layers are then stripped without removing the dielectric spacers.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: October 6, 2009
    Assignee: STMicroelectronics, Inc.
    Inventor: Robert Louis Hodges
  • Publication number: 20090218620
    Abstract: This invention discloses a semiconductor power device disposed on a semiconductor substrate supporting an epitaxial layer as a drift region composed of an epitaxial layer. The semiconductor power device further includes a super-junction structure includes a plurality of doped sidewall columns disposed in a multiple of epitaxial layers. The epitaxial layer have a plurality of trenches opened and filled with the multiple epitaxial layer therein with the doped columns disposed along sidewalls of the trenches disposed in the multiple of epitaxial layers.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventors: Francois Hebert, Anup Bhalla
  • Patent number: 7569436
    Abstract: The present invention makes it is possible to provide a manufacturing method of a semiconductor device by which damage by plasma process or doping process during a LDD formation process can be reduced as much as possible. Charge density to be stored in a gate electrode and the damage of an element due to plasma are reduced as much as possible during anisotropic etching of an LDD formation process, by forming an LDD region in the state that a conductive protecting film is formed to cover a whole area of a substrate. Further, damage by charged particles during a process of doping a high concentration of impurity is also reduced.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: August 4, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Akira Ishikawa
  • Publication number: 20090166737
    Abstract: A method for manufacturing a transistor is disclosed, which is capable of improving matching characteristics of regions within a transistor or among transistors on a wafer, from wafer-to-wafer, or from lot-to-lot. The method includes forming a photoresist pattern on a semiconductor substrate including an isolation layer, forming a drift region by implanting first and second dopant ions using the photoresist pattern as a mask, forming a gate oxide layer on the semiconductor substrate, forming a poly gate on the gate oxide layer, forming source and drain regions a predetermined distance from the poly gate, and forming a silicide layer on the above structure.
    Type: Application
    Filed: November 11, 2008
    Publication date: July 2, 2009
    Inventor: Bong Kil KIM
  • Publication number: 20090155973
    Abstract: A semiconductor device includes a gate insulating film which is formed on the major surface of a semiconductor substrate, a gate electrode which is formed on the gate insulating film, a first offset-spacer which is formed in contact with one side surface of the gate electrode, a first spacer which is formed in contact with the other side surface of the gate electrode, a second spacer which is formed in contact with the first offset-spacer, and source and drain regions which are formed apart from each other in the major surface of the semiconductor substrate below the first and second spacers so as to sandwich the gate electrode and the first offset-spacer. The source region is formed at a position deeper than the drain region. The dopant concentration of the source region is higher than that of the drain region.
    Type: Application
    Filed: February 19, 2009
    Publication date: June 18, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hideji TSUJII
  • Publication number: 20090152648
    Abstract: Disclosed are a semiconductor device and a method of fabricating the same. The semiconductor device includes a gate electrode that includes a body part disposed on the semiconductor substrate and a projecting part projecting downward from the body part; and source/drain regions at opposite sides of the gate electrode.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 18, 2009
    Inventor: Yong Soo Cho
  • Publication number: 20090134477
    Abstract: A semiconductor device and a method of fabricating the same include a gate electrode formed over the silicon substrate, the gate electrode including low-concentration conductive impurity regions, a high-concentration conductive impurity region formed between the low-concentration conductive impurity regions and a first silicide layer formed over the high-concentration conductive impurity region, and contact electrodes including a first contact electrode connected electrically to the gate electrode and a second contact electrode connected electrically to source/drain regions. The first contact electrode contacts the uppermost surface of the gate electrode and a sidewall of the gate electrode. The gate electrode can be easily connected to the contact electrode, the high-concentration region can be disposed only on the channel region, making it possible to maximize overall performance of the semiconductor device.
    Type: Application
    Filed: November 25, 2008
    Publication date: May 28, 2009
    Inventor: Dae-Kyeun Kim
  • Patent number: 7534689
    Abstract: A stress enhanced MOS transistor and methods for its fabrication are provided. In one embodiment the method comprises forming a gate electrode overlying and defining a channel region in a monocrystalline semiconductor substrate. A trench having a side surface facing the channel region is etched into the monocrystalline semiconductor substrate adjacent the channel region. The trench is filled with a second monocrystalline semiconductor material having a first concentration of a substitutional atom and with a third monocrystalline semiconductor material having a second concentration of the substitutional atom. The second monocrystalline semiconductor material is epitaxially grown to have a wall thickness along the side surface sufficient to exert a greater stress on the channel region than the stress that would be exerted by a monocrystalline semiconductor material having the second concentration if the trench was filled by the third monocrystalline material alone.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: May 19, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Rohit Pal, Igor Peidous, David Brown
  • Publication number: 20090096023
    Abstract: A method for manufacturing a semiconductor device that eliminates the cause of increase in leakage current and therefore suppresses power increase in a highly integrated circuit by forming a shallow junction using a dopant-containing oxide film after etching a semiconductor substrate in source and drain regions.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 16, 2009
    Inventor: Yong-Soo Cho
  • Publication number: 20090085129
    Abstract: A process for forming defect-free source and drain extensions for a MOSFET built on a germanium based channel region deposits a first silicon germanium layer on a semiconductor substrate, deposits a gate dielectric layer on the silicon germanium layer, and deposits a gate electrode layer on the gate dielectric layer. A dry etch chemistry etches those layers to form a gate electrode, a gate dielectric, and a silicon germanium channel region on the semiconductor substrate. Next, an ion implantation process forms halo implant regions that consume portions of the silicon germanium channel region and the semiconductor substrate. Finally, an in-situ doped epitaxial deposition process grows a pair of silicon germanium layers having LDD regions. The silicon germanium layers are adjacent to the silicon germanium channel region and the halo implant regions do not damage any portion of the silicon germanium layers.
    Type: Application
    Filed: September 29, 2007
    Publication date: April 2, 2009
    Inventors: Prashant Majhi, William Tsai, Jack Kavalieros
  • Publication number: 20090072310
    Abstract: A high voltage device includes a substrate with a device region defined thereon. A gate stack is disposed on the substrate in the device region. A channel region is located in the substrate beneath the gate stack, while a first diffusion region is located in the substrate on a first side of the gate stack. A first isolation structure in the substrate, located on the first side of the gate stack, separates the channel and the first diffusion region. The high voltage device also includes a first drift region in the substrate coupling the channel to the first diffusion region, wherein the first drift region comprises a non-uniform depth profile conforming to a profile of the first isolation structure.
    Type: Application
    Filed: September 14, 2007
    Publication date: March 19, 2009
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Jeoung Mo KOO, Purakh Raj VERMA, Sanford CHU, Chunlin ZHU, Yisuo LI
  • Patent number: 7504292
    Abstract: A method for forming a semiconductor structure includes providing a semiconductor substrate, forming a gate stack on the semiconductor substrate, and epitaxially growing a lightly-doped source/drain (LDD) region adjacent the gate stack, wherein carbon is simultaneously doped into the LDD region.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: March 17, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keh-Chiang Ku, Pang-Yen Tsai, Chun-Feng Nieh, Li-Ting Wang
  • Patent number: 7504293
    Abstract: A fabrication method for a semiconductor device includes a step of forming a gate insulating film on a semiconductor layer, and a step of forming a first gate electrode layer on the gate insulating film. The fabrication method also includes a step of forming a pocket ion region under the first gate electrode layer, and a step of forming a second gate electrode layer overlaying the first gate electrode layer after forming the pocket ion region.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: March 17, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Marie Mochizuki
  • Publication number: 20090068812
    Abstract: Disclosed is a method of forming memory devices employing halogen ion implantation and diffusion processes. In one illustrative embodiment, the method includes forming a plurality of word line structures above a semiconducting substrate, each of the word line structures comprising a gate insulation layer, performing an LDD ion implantation process to form LDD doped regions in the substrate between the word line structures, performing a halogen ion implantation process to implant atoms of halogen into the semiconducting substrate between the word line structures, and performing at least one anneal process to cause at least some of the atoms of halogen to diffuse into the gate insulation layers on adjacent word line structures.
    Type: Application
    Filed: November 14, 2008
    Publication date: March 12, 2009
    Inventors: Kirk Prall, Behnam Moradi, Seiichi Aritome, Di Li, Chris Larsen
  • Publication number: 20090057760
    Abstract: A semiconductor device and fabricating method thereof are disclosed, by which channel mobility is enhanced and by which effect of flicker noise can be minimized. Embodiments relate to a method of fabricating a semiconductor device which includes forming a first epi-layer over a substrate, forming a second epi-layer over the first epi-layer, forming a gate electrode over the second epi-layer, forming a spacer over both sides of the gate electrode, etching an area adjacent both sides of the spacer to a depth of the substrate, forming an LDD region in a region under the spacer, and forming a third epi-layer for a source/drain region over the etched area adjacent both of the sides of the spacer.
    Type: Application
    Filed: August 24, 2008
    Publication date: March 5, 2009
    Inventor: Yong-Soo Cho
  • Publication number: 20090050962
    Abstract: A MOSFET device with an isolation structure for a monolithic integration is provided. A P-type MOSFET includes a first N-well disposed in a P-type substrate, a first P-type region disposed in the first N-well, a P+ drain region disposed in the first P-type region, a first source electrode formed with a P+ source region and an N+ contact region. The first N-well surrounds the P+ source region and the N+ contact region. An N-type MOSFET includes a second N-well disposed in a P-type substrate, a second P-type region disposed in the second N-well, an N+drain region disposed in the second N-well, a second source electrode formed with an N+ source region and a P+ contact region. The second P-type region surrounds the N+ source region and the P+ contact region. A plurality of separated P-type regions is disposed in the P-type substrate to provide isolation for transistors.
    Type: Application
    Filed: October 14, 2005
    Publication date: February 26, 2009
    Inventors: Chih-Feng Huang, Tuo-Hsin Chien, Jenn-Yu Lin, Ta-yung Yang
  • Publication number: 20090026540
    Abstract: A semiconductor device includes: a first semiconductor region formed on a substrate and having an upper surface and a side surface; a first impurity region of a first conductivity type formed in an upper portion of the first semiconductor region; a second impurity region of a first conductivity type formed in a side portion of the first semiconductor region; and a gate insulating film formed so as to cover at least a side surface and an upper corner of a predetermined portion of the first semiconductor region. A radius of curvature r? of an upper corner of a portion of the first semiconductor region located outside the gate insulating film is greater than a radius of curvature r of an upper corner of a portion of the first semiconductor region located under the gate insulating film and is less than or equal to 2r.
    Type: Application
    Filed: August 19, 2008
    Publication date: January 29, 2009
    Applicant: Matsushita Electric Industrial, Ltd.
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Keiichi Nakamoto, Hisataka Kanada, Bunji Mizuno
  • Publication number: 20090026539
    Abstract: An semiconductor device is disclosed. The device includes a semiconductor body, a layer of insulating material disposed over the semiconductor body, and a region of gate electrode material disposed over the layer of insulating material. Also included are a source region adjacent to gate region and a drain region adjacent to the gate region. A gate connection is disposed over the semiconductor body, wherein the gate connection includes a region of gate electrode material electrically coupling a contact region to the gate electrode. An insulating region is disposed on the semiconductor body beneath the gate connection.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 29, 2009
    Inventors: Albert Birner, Qiang Chen
  • Publication number: 20090004799
    Abstract: According to an illustrative example, a method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first feature and a second feature. A material layer is formed over the first feature and the second feature. A mask is formed over the first feature. At least one etch process adapted to form a sidewall spacer structure adjacent the second feature from a portion of the material layer is performed. The mask protects a portion of the material layer over the first feature from being affected by the at least one etch process. An ion implantation process is performed. The mask remains over the first feature during the ion implantation process.
    Type: Application
    Filed: February 11, 2008
    Publication date: January 1, 2009
    Inventors: Frank Wirbeleit, Rolf Stephan, Peter Javorka
  • Publication number: 20090001413
    Abstract: A method for fabricating a FET transistor for an integrated circuit by the steps of forming recesses in a substrate on both sides of a gate on the substrate, halo/extension ion implanting into the recesses, and filling the recesses with embedded strained layers comprising dopants for in-situ doping of the source and drain of the transistor. The stress/strain relaxation of the resulting transistor is reduced.
    Type: Application
    Filed: June 26, 2007
    Publication date: January 1, 2009
    Inventors: Robert J. Gauthier, JR., Rajendran Krishnasamy
  • Publication number: 20080318387
    Abstract: A method of manufacturing a semiconductor device that includes forming a gate dielectric layer over a semiconductor substrate. A gate electrode is formed over the gate dielectric layer. A dopant is implanted into an extension region of the substrate, with an amount of the dopant remaining in a dielectric layer adjacent the gate electrode. The substrate is annealed at a temperature of about 1000° C. or greater to cause at least a portion of the amount of the dopant to diffuse into the semiconductor substrate.
    Type: Application
    Filed: June 19, 2007
    Publication date: December 25, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Amitabh Jain, Manoj Mehrotra
  • Publication number: 20080311716
    Abstract: A semiconductor method includes thermally treating at least a portion of a substrate so as to generate a plurality of vacancies in a region at a depth substantially near to a surface of the substrate. The substrate is then quenched so as to substantially maintain the vacancies in the region substantially near to the surface of the substrate.
    Type: Application
    Filed: June 12, 2007
    Publication date: December 18, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Pu-Fang Chen
  • Publication number: 20080303103
    Abstract: The present invention provides a semiconductor structure and a method of forming the same. The method includes the steps of providing a substrate, forming a mask layer with an opening on the substrate, locally oxidizing the substrate to form an oxide layer within the opening, removing the oxide layer, such that a partial surface of the substrate becomes a curve surface, forming a sacrificial layer on the curve surface, forming a first doped region in the substrate and under the hard mask layer, forming a gate stack within the opening, removing the hard mask layer, forming a spacer on a sidewall of the gate stack, and forming a second doped region in the substrate and under the spacer. The second doped region has a dopant concentration is larger than that of the first doped region. Therefore, the oxide layer increases the surface area of the substrate so as to increase the channel length. Thus, the leakage between the source region and the drain region can be improved.
    Type: Application
    Filed: October 31, 2007
    Publication date: December 11, 2008
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Kuo Chung CHEN, Jen-Jui HUANG, Hong Wen LEE
  • Publication number: 20080299736
    Abstract: Provided is a method of manufacturing a semiconductor device including a high-k dielectric thin layer formed using an interfacial reaction. The method includes the steps of: forming an oxide layer on a silicon substrate; depositing a metal layer on the oxide layer to form a metal silicate layer using an interfacial reaction between the oxide layer and the metal layer; forming a metal gate by etching the metal silicate layer and the metal layer; and forming a lightly doped drain (LDD) region and source and drain regions in the silicon substrate after forming the metal gate. In this method, a semiconductor device having high quality and performance can be manufactured by a simpler process at lower cost.
    Type: Application
    Filed: March 11, 2008
    Publication date: December 4, 2008
    Applicant: Electronics and Telecommunications research Institute
    Inventors: Chel Jong Choi, Moon Gyu Jang, Yark Yeon Kim, Myung Sim Jun, Tae Youb Kim
  • Publication number: 20080290380
    Abstract: A semiconductor device includes a substrate and a gate formed on the substrate. A gate spacer is formed next to the gate. The gate spacer has a height greater than the height of the gate. A method of forming a semiconductor device includes providing a substrate with a gate layer. A hard mask layer is formed over the gate layer, and both layers are then etched using a pattern, forming a gate and a hard mask. A spacer layer is then deposited over the substrate, gate, and hard mask. The spacer layer is etched to form a gate spacer next to the gate. The hard mask is then removed.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 27, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Ming Sheu, Da-Wen Lin, Shyh-Wei Wang
  • Publication number: 20080293204
    Abstract: A method for forming a semiconductor structure includes providing a semiconductor substrate; forming a gate stack over the semiconductor substrate; implanting carbon into the semiconductor substrate; and implanting an n-type impurity into the semiconductor substrate to form a lightly doped source/drain (LDD) region, wherein the n-type impurity comprises more than one phosphorous atom. The n-type impurity may include phosphorous dimer or phosphorous tetramer.
    Type: Application
    Filed: May 21, 2007
    Publication date: November 27, 2008
    Inventors: Chun-Feng Nieh, Keh-Chiang Ku, Nai-Han Cheng, Chi-Chun Chen, Li-Te S. Lin
  • Publication number: 20080283915
    Abstract: The present invention provides a high voltage semiconductor device and a method of manufacturing the same. The high voltage semiconductor device includes: a semiconductor substrate; a first high voltage N-type well formed on the semiconductor substrate; a first high voltage P-type well formed inside the first high voltage N-type well; a second high voltage N-type well formed to surround the first high voltage P-type well inside the first high voltage N-type well; a gate dielectric layer and a gate electrode formed to be stacked on the upper of the first high voltage P-type well; and a first N-type high-concentration impurity region formed at both sides of the gate electrode in the first high voltage P-type well, wherein the concentration of the upper region of the first high voltage N-type well is lower than that of the lower region thereof, based on a portion formed with the first high voltage P-type well.
    Type: Application
    Filed: May 6, 2008
    Publication date: November 20, 2008
    Inventor: Duck-Ki Jang
  • Patent number: 7449386
    Abstract: A method of manufacturing a plurality of MOS transistors includes forming gate structures in first and second regions on a substrate and forming mask portions only between adjacent drain sides of the respective gate structures only in the first region. Dopant of a first conductivity type that is the same as that of the substrate, is implanted at first and second angles in both the first and second regions to form halo regions only in source sides under the gate structures in the first region and in both source and drain sides under the gate structures in the second region.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: November 11, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Te Lin, Di-Houng Lee, Yee-Chaung See
  • Publication number: 20080258216
    Abstract: A semiconductor device includes a field effect transistor including a semiconductor substrate having a channel-forming region, an insulating film formed on the semiconductor substrate, a gate electrode trench formed in the insulating film, a gate insulating film formed at the bottom of the gate electrode trench, a gate electrode formed by filling the gate electrode trench with a layer on the gate insulating film, offset spacers composed of silicon oxide or boron-containing silicon nitride and formed as a portion of the insulating film to constitute the sidewall of the gate electrode trench, sidewall spacers formed as a portion of the insulating film on both sides of the offset spacers on the side away from the gate electrode, and source-drain regions having an extension region and formed in the semiconductor substrate and below at least the offset spacers and the sidewall spacers.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 23, 2008
    Applicant: SONY CORPORATION
    Inventor: Yoshiaki Kikuchi
  • Publication number: 20080237744
    Abstract: Provided is a semiconductor device and manufacturing method thereof. The semiconductor device includes a gate dielectric on a semiconductor substrate; and a gate electrode on the gate dielectric. The gate dielectric has a structure in which a buffer dielectric and a dielectric layer including a high-k material are stacked. The gate dielectric can be formed so as to reduce surface roughness between the gate dielectric and the semiconductor substrate and to improve the dielectric constant of the gate dielectric.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 2, 2008
    Inventor: EUN JONG SHIN
  • Publication number: 20080224212
    Abstract: A method for fabricating a semiconductor device is provided. A first insulation layer and a second insulation layer are formed over the substrate having a gate. A spacer etching process is performed to form an etched first insulation layer and an etched second insulation layer. The etched first insulation layer partially protrudes from the substrate and contacts sidewalls of the gate. The etched second insulation layer is removed through a selective epitaxial growth (SEG) process that forms an epitaxial layer over the exposed substrate. One of facets of the epitaxial layer is formed on the protruding portion of the etched first insulation layer. A third insulation layer is formed on sidewalls of the etched first insulation layer and the one of the facets of the epitaxial layer is covered by the third insulation layer.
    Type: Application
    Filed: December 27, 2007
    Publication date: September 18, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventors: Young-Ho LEE, Dong-Sun Sheen, Seok-Pyo Song
  • Publication number: 20080217685
    Abstract: A semiconductor device includes an isolation layer for dividing a silicon substrate into an active region and an inactive region, a gate electrode formed over the silicon substrate, a gate oxide layer formed around a sidewall of the gate electrode to expose an upper portion of the sidewall of the gate electrode, a gate insulation layer formed between the silicon substrate and the gate electrode, an epitaxial layer formed over the gate electrode and the active region around the gate electrode; a lightly doped drain region formed in a surface of the silicon substrate around the gate electrode, a gate spacer formed around the sidewall of the gate electrode including the gate oxide layer; source and drain regions formed in the surface of the silicon substrate at sides of the gate spacer, and a protective layer formed over the entire surface of the silicon substrate.
    Type: Application
    Filed: September 4, 2007
    Publication date: September 11, 2008
    Inventor: Jong-Min Kim
  • Publication number: 20080185666
    Abstract: A field effect transistor includes a first substrate region having a channel region and a second substrate region where a heavily doped region is formed. The channel region includes a first portion having a first width and a second portion having a second width larger than the first width. Related fabrication methods are also described.
    Type: Application
    Filed: February 1, 2008
    Publication date: August 7, 2008
    Inventors: Seung-Han Yoo, Dae-Lim Kang, Young-Chan Lee
  • Publication number: 20080171414
    Abstract: A method of fabricating a semiconductor device according to an example embodiment may include forming an isolation layer defining an active region in a semiconductor substrate, forming a silicon pattern and a sacrificial pattern on the active region, the sacrificial pattern including a semiconductor material different from the silicon pattern, forming a gate spacer on a sidewall of the silicon pattern and a sidewall of the sacrificial pattern, removing the sacrificial pattern to expose a top surface of the silicon pattern, and/or forming a gate silicide on the silicon pattern.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 17, 2008
    Inventor: Ki-Chul Kim
  • Publication number: 20080160710
    Abstract: A method of fabricating a MOSFET device comprising forming a gate electrode pattern on a gate insulating layer on a semiconductor substrate, forming pre-source and pre-drain junction layers using a first ion implantation process on the substrate on each side of the gate electrode pattern, respectively, forming lightly doped drain junctions by performing a second ion implantation process on the surface of the pre-source and pre-drain junction layers, forming spacers on each side of the gate electrode pattern, and forming deep source and deep drain junction layers in the pre-source and pre-drain junction layers by performing third ion implantation process on the area of the substrate next to the gate electrode pattern.
    Type: Application
    Filed: October 28, 2007
    Publication date: July 3, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Yong Ho OH
  • Publication number: 20080145992
    Abstract: One aspect provides a method of manufacturing a semiconductor device having reduced N/P or P/N junction crystal disorder. In one aspect, this improvement is achieved by forming gate electrodes over a semiconductor substrate, amorphizing the semiconductor substrate that creates amorphous regions adjacent the gate electrodes to a depth in the semiconductor substrate. Source/drains are formed adjacent the gate electrodes by placing conductive dopants in the semiconductor substrate, wherein displaced substrate atoms and the conductive dopants are contained within the depth of the amorphous regions. The semiconductor substrate is annealed to re-crystallize the amorphous regions subsequent to forming the source/drains.
    Type: Application
    Filed: December 6, 2007
    Publication date: June 19, 2008
    Applicant: Texas Instruments Inc.
    Inventor: Amitabh Jain
  • Publication number: 20080142885
    Abstract: A semiconductor device includes a gate, extension layers, source drain layers, and silicide layers. The gate is formed on one of a n-type semiconductor substrate and a n-type through a gate insulation film. The extension layers are p-type semiconductors and formed under sidewalls which are formed on both sides of the gate. The source drain layers are p-type semiconductors and formed in contact with the outsides of the extension layers. The silicide layers are formed on surface regions of the source drain layers. The extension layers include inhibitor elements which inhibit p-type impurity diffusion in the extension layers. The silicide layers do not substantially include the inhibitor elements.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 19, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Akira MINEJI
  • Publication number: 20080142884
    Abstract: Embodiments relate to a semiconductor device, and to a semiconductor device and a method for manufacture that may improve a performance of a MOSFET device. According to embodiments, a semiconductor device may include a gate pattern formed of a gate dielectric layer formed in an active area of a semiconductor substrate and a first gate electrode pattern formed on the gate dielectric layer, an oxide pattern formed at both sides of the first gate electrode pattern, and a second gate electrode pattern formed on the first gate electrode pattern including the oxide pattern, a lightly doping drain (LDD) area formed in the inside of the substrate of the lower area of the oxide pattern, a spacer formed on both side-walls of the gate pattern, source/drain areas formed on the surface of the substrate of both sides of the gate pattern including the spacer, and a salicide film formed in the gate pattern and the source/drain areas.
    Type: Application
    Filed: November 27, 2007
    Publication date: June 19, 2008
    Inventor: Yong-Soo Cho
  • Publication number: 20080132022
    Abstract: An implantation step of a dopant ion for forming source and drain regions (S and D) is divided into one implantation of a dopant ion for forming a p/n junction with a well region (3), and one implantation of a dopant ion that does not influence a position of the p/n junction between the source and drain regions (S and D) and the well region with a shallow implantation depth and? a large implantation amount. After conducting an activation heat treatment of the dopant, a surface of the source/drain region is made into cobalt suicide 12, so that the source/drain region (S and D) can have a low resistance, and a p/n junction leakage can be reduced.
    Type: Application
    Filed: November 9, 2007
    Publication date: June 5, 2008
    Inventors: Shinichi Fukada, Naotaka Hashimoto, Masanori Kojima, Hiroshi Momiji, Hiromi Abe, Masayuki Suzuki
  • Publication number: 20080128819
    Abstract: A lateral MOS transistor that can include a first device isolating layer formed in a semiconductor substrate; a second device isolating layer formed in the semiconductor substrate, the second device isolation layer having a different width than the first device isolation layer and also having an etched groove provided therein; a gate insulating layer formed in the etched groove; a gate electrode formed over the gate insulating layer; and a source/drain region horizontally arranged in the semiconductor substrate adjacent to the gate electrode.
    Type: Application
    Filed: November 26, 2007
    Publication date: June 5, 2008
    Inventor: Ki-Wan Bang
  • Publication number: 20080122017
    Abstract: A semiconductor device, such as a positive channel metal-oxide semiconductor (PMOS) transistor, and a fabricating method thereof are provided. The semiconductor device includes: a gate insulation layer and a gate electrode, a semiconductor substrate, a spacer formed on side walls of the gate insulation layer and the gate electrode, a lightly doped drain (LDD) area formed on the semiconductor substrate at both sides of the gate electrode, a source/drain area formed on the semiconductor substrate at both sides of the gate electrode, and an oxide-nitride layer formed on the gate electrode and on the source/drain area.
    Type: Application
    Filed: October 31, 2007
    Publication date: May 29, 2008
    Inventor: Jin Ha Park
  • Publication number: 20080124880
    Abstract: Some non-limiting example embodiments comprise a disposable spacer formation and removal process and a stress capping layer process. We provide a gate structure over a substrate. We form disposable spacers abutting the at least one gate sidewall. We form S/D regions adjacent the disposable spacers. We remove the disposable spacers. We can form silicide regions over the S/D and gate. In an aspect, we can deposit a stress inducing layer over the gate and surface portions of the substrate adjacent to the gate, wherein the stress inducing liner provides a stress to a portion of the substrate underlying the gate electrode.
    Type: Application
    Filed: September 23, 2006
    Publication date: May 29, 2008
    Applicants: Chartered Semiconductor Manufacturing Ltd., International Business Machines Corporation
    Inventors: Wenhe Lin, Randy William Mann, Padraic C. Shafer, Christopher Vincent Baiocco, Zhijoing Luo, Haining S. Yang, Xiangdong Chen
  • Publication number: 20080122016
    Abstract: A semiconductor device includes: a semiconductor substrate including source/drain regions and a channel between the source/drain regions; a gate oxide layer pattern on the channel; a metal nitride layer pattern on the gate oxide layer pattern; a silicide on the metal nitride layer pattern; and a spacer on a side of the gate oxide layer pattern, the metal nitride layer pattern, and the silicide. In one embodiment, the metal nitride layer pattern is ¼ to ½ as thick as the silicide.
    Type: Application
    Filed: October 30, 2007
    Publication date: May 29, 2008
    Inventor: Yong ho Oh
  • Publication number: 20080111200
    Abstract: Embodiments of the present invention provide a method of forming a conductive stud contacting a semiconductor device. The method includes forming a protective layer covering the semiconductor device; selectively etching an opening down through the protective layer reaching a contact area of the semiconductor device, the opening being away from a protected area of the semiconductor device; and filling the opening with a conductive material to form the conductive stud. One embodiment may further include forming a dielectric liner directly on top of the semiconductor device, and forming the protective layer on top of the dielectric liner. Embodiments of the present invention also provide a semiconductor device made thereof.
    Type: Application
    Filed: November 14, 2006
    Publication date: May 15, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventors: Thomas W. Dyer, Sunfei Fang, Jiang Yan
  • Publication number: 20080099859
    Abstract: In a case of using a silicon nitride film as an offset spacer for forming an extension region of a transistor, an oxide protective surface is formed by oxygen plasma processing on the surface of the silicon nitride film.
    Type: Application
    Filed: October 31, 2007
    Publication date: May 1, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Takashi Watanabe
  • Publication number: 20080079096
    Abstract: A high-voltage-resistant MOS transistor having high electrical strength and a method for manufacturing the same, whereby to effectively decrease cost of manufacturing, are provided. The gate electrode includes a pair of separate opposition parts and a combination part sandwiched by the pair of opposition parts so that the opposition parts are opposed to each other so as not to overlap with the element region and the combination part overlaps with the element region. Each length of the opposition parts in a junction direction is longer than that of the combination part. The sidewall insulating film is formed so as to be continuous between the opposition parts and partially overlap with the element region. Therefore, the number of processes and a processing period for forming the MOS transistor can be decreased and uniformity of LDD lengths of the MOS transistors can be improved.
    Type: Application
    Filed: July 30, 2007
    Publication date: April 3, 2008
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Norihiro Takahashi