By Selective Deposition Of Conductive Material In Vias, E.g., Selective Chemical Vapor Deposition On Semiconductor Material, Plating (epo) Patents (Class 257/E21.586)
  • Publication number: 20130099349
    Abstract: According to one embodiment, a semiconductor device includes a semiconductor substrate having a first surface and a second surface, and having a LSI on the first surface of the semiconductor substrate, a first insulating layer with an opening, the first insulating layer provided on the first surface of the semiconductor substrate, a conductive layer on the opening, the conductive layer being connected to the LSI, and a via extending from a second surface of the semiconductor substrate to the conductive layer through the opening, the via having a size larger than a size of the opening in a range from the second surface to a first interface between the semiconductor substrate and the first insulating layer, and having a size equal to the size of the opening in the opening.
    Type: Application
    Filed: August 24, 2012
    Publication date: April 25, 2013
    Inventor: Akiko Nomachi
  • Publication number: 20130099368
    Abstract: Chip carriers are provided. The chip carrier includes a carrier body having a cavity therein and at least one conductive through silicon via (TSV) penetrating the carrier body under the cavity. The cavity includes an uneven sidewall surface profile. The at least one conductive through silicon via (TSV) is exposed at a bottom surface of the carrier body opposite to the cavity. Related methods are also provided.
    Type: Application
    Filed: August 9, 2012
    Publication date: April 25, 2013
    Applicant: SK HYNIX INC.
    Inventor: Kwon Whan HAN
  • Publication number: 20130099382
    Abstract: A method for producing an electrical feedthrough in a substrate includes: forming a first printed conductor on a first side of a substrate which electrically connects a first contact area of the substrate on the first side; forming a second printed conductor on a second side of a substrate which electrically connects a second contact area of the substrate on the second side; forming an annular trench in the substrate, a substrate punch being formed which extends from the first contact area to the second contact area; and selectively depositing an electrically conductive layer on an inner surface of the annular trench, the substrate punch being coated with an electrically conductive layer and remaining electrically insulated from the surrounding substrate due to the annular trench.
    Type: Application
    Filed: October 24, 2012
    Publication date: April 25, 2013
    Applicant: Robert Bosch GmbH
    Inventor: Robert Bosch GmbH
  • Publication number: 20130095657
    Abstract: This disclosure relates to a post-etch treating method. An opening is formed by etching a stacked structure of a dielectric layer, an intermediate layer and a metal hard mask layer arranged in order from bottom to top. The treating method sequentially comprises steps of: performing a first cleaning process on the stacked structure with the opening so as to remove at least a part of the metal hard mask layer; and performing a second cleaning process on the stacked structure with the opening so as to remove etching residues.
    Type: Application
    Filed: December 7, 2011
    Publication date: April 18, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: HAIYANG ZHANG, Minda Hu, Junqing Zhou, Dongjiang Wang
  • Patent number: 8420533
    Abstract: In sophisticated metallization systems, vertical contacts and metal lines may be formed on the basis of a dual inlaid strategy, wherein an edge rounding or corner rounding may be applied to the trench hard mask prior to forming the via openings on the basis of a self-aligned via trench concept. Consequently, self-aligned interconnect structures may be obtained, while at the same time providing superior fill conditions during the deposition of barrier materials and conductive fill materials.
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: April 16, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Robert Seidel, Thomas Werner
  • Publication number: 20130087930
    Abstract: One or more embodiments relate to a semiconductor structure, comprising: a conductive pad, the conductive pad including a plurality of laterally spaced apart gaps diposed at least partially through the conductive pad.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Inventor: Dirk Meinhold
  • Patent number: 8415251
    Abstract: A method for producing an electrical component (1) is proposed, in which a ceramic base body (5) that contains a through-hole contact (10) and at least one metallization surface (20C) electroconductively connected to the through-hole contact is provided in a method step A). On the surface of the base body, an electrically insulating first material is arranged in layer form at least above the through-hole contact in method step B), and thereafter an electrically conductive second material is applied above through-hole contact (10) in method step C). Then a solder contact (30B) that electroconductively connects through-hole contact (10) through passivation layer (25B), which is formed from the first material by sintering, is formed by hardening in method step D).
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: April 9, 2013
    Assignee: EPCOS AG
    Inventors: Sebastian Brunner, Thomas Feichtinger, Günter Pudmich, Horst Schlick, Patrick Schmidt-Winkel
  • Patent number: 8415238
    Abstract: A method includes patterning a photoresist layer on a structure to define an opening and expose a first planar area on a substrate layer, etching the exposed planar area to form a cavity having a first depth in the structure, removing a second portion of the photoresist to expose a second planar area on the substrate layer, forming a doped portion in the second planar area, and etching the cavity to expose a first conductor in the structure and the doped portion to expose a second conductor in the structure.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser, Richard Wise, Hakeem Yusuff
  • Publication number: 20130082283
    Abstract: A semiconductor device includes an insulating substrate, a wiring pattern formed on the insulating substrate, a semiconductor chip secured to the wiring pattern, a junction terminal formed of the same material as the wiring pattern and electrically connected to the semiconductor chip, one end of the junction terminal being secured to the insulating substrate, the other end of the junction terminal extending upward away from the insulating substrate, and a control circuit for transmitting a control signal for the semiconductor chip, the control circuit being electrically connected to the junction terminal.
    Type: Application
    Filed: May 17, 2012
    Publication date: April 4, 2013
    Applicant: Mitsubishi Electric Corporation
    Inventors: Takami OTSUKI, Taichi OBARA, Akira GOTO
  • Publication number: 20130082400
    Abstract: A HEMT has a compound semiconductor layer, a protection film which has an opening and covers an upper side of the compound semiconductor layer, and a gate electrode which fills the opening and has a shape riding on the compound semiconductor layer, wherein the protection film has a stacked structure of a lower insulating film not containing oxygen and an upper insulating film containing oxygen, and the opening includes a first opening formed in the lower insulating film and a second opening formed in the upper insulating film and wider than the first opening, the first opening and the second opening communicating with each other.
    Type: Application
    Filed: August 6, 2012
    Publication date: April 4, 2013
    Applicant: FUJITSU LIMITED
    Inventors: Toshihiro Ohki, Naoya Okamoto, Yuichi Minoura, Kozo Makiyama, Shirou Ozaki
  • Publication number: 20130078805
    Abstract: The present invention provides a semiconductor device manufacturing method. This method comprises: etching a first dielectric layer to form a recess; depositing a second dielectric layer over said first dielectric layer and said recess, such that said recess is enclosed by said first dielectric layer and said second dielectric layer to form an air gap; and performing etching, such that a first trench is formed in said first dielectric layer and said second dielectric layer, adjacent to said air gap. The first trench can be filled with a conductive material to form wiring.
    Type: Application
    Filed: December 9, 2011
    Publication date: March 28, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: YU BAO
  • Publication number: 20130069238
    Abstract: A first wiring is disposed over a semiconductor substrate. A first via is disposed over the first wiring. Further, the bottom surface of the first via is in contact with the first wiring. A first insulation layer is disposed over the semiconductor substrate, and is in contact with at least the top surface of the first wiring and the side surface of the first via. At least a part of each side surface of the first wiring and the first via cuts off each metal crystal grain.
    Type: Application
    Filed: August 6, 2012
    Publication date: March 21, 2013
    Applicant: Renesas Electronics Corporation
    Inventors: Tatsuya USAMI, Hiroshi KITAJIMA
  • Publication number: 20130072020
    Abstract: A method of generating an integrated circuit with a DPT compatible via pattern using a reduced DPT compatible via design rule set. A reduced DPT compatible via design rule set. A method of forming an integrated circuit using a via pattern generated from a reduced DPT compatible design rule set.
    Type: Application
    Filed: September 19, 2012
    Publication date: March 21, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Texas Instruments Incorporated
  • Publication number: 20130072019
    Abstract: Embodiments of methods for forming a semiconductor device are provided. The method includes forming a metal layer overlying a dielectric material. A thickness of the metal layer is reduced including oxidizing an exposed outer portion of the metal layer to form a metal oxide portion overlying a remaining portion of the metal layer and removing the metal oxide portion.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Errol T. Ryan
  • Patent number: 8389406
    Abstract: There is provided a method of manufacturing a semiconductor device including: preparing a semiconductor substrate, forming a first insulating layer, a first redistribution layer, a second insulating layer, a second redistribution layer, and at least one of first processing, in which, after the first electrically conductive material is filled in the first opening to form a first via interconnect, the first redistribution layer is formed on the first insulating layer with the first electrically conductive material such that the first redistribution layer is electrically connected to the first via interconnect; or second processing, in which, after the second electrically conductive material is filled in the second opening to form a second via interconnect, the second redistribution layer is formed on the second insulating layer with the second electrically conductive material such that the second redistribution layer is electrically connected to the second via interconnect.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: March 5, 2013
    Assignee: Lapis Semiconductor Co., Ltd.
    Inventors: Hideyuki Sameshima, Tomoo Ono
  • Publication number: 20130049214
    Abstract: In various embodiments, a method of processing at least one die may include: forming at least one placeholder element over at least one contact pad of at least one die; forming a die embedding layer to at least partially embed the at least one die and the at least one placeholder element; removing the at least one placeholder element to form at least one opening in the at least one die embedding layer and expose the at least one contact pad of the at least one die; filling the at least one opening with electrically conductive material to electrically contact the at least one contact pad of the at least one die.
    Type: Application
    Filed: August 29, 2011
    Publication date: February 28, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Ivan Nikitin, Joachim Mahler
  • Publication number: 20130052822
    Abstract: Anti-reverse engineering techniques are provided. In one aspect, a method for forming at least one feature in an insulating layer is provided. The method comprises the following steps. Ions are selectively implanted in the insulating layer so as to form at least one implant region within the insulating layer, the implanted ions being configured to alter an etch rate through the insulating layer within the implant region. The insulating layer is etched to, at the same time, form at least one void both within the implant region and outside of the implant region, wherein the etch rate through the insulating layer within the implant region is different from an etch rate through the insulating layer outside of the implant region. The void is filled with at least one conductor material to form the feature in the insulating layer.
    Type: Application
    Filed: October 25, 2012
    Publication date: February 28, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Publication number: 20130049193
    Abstract: To form a through-silicon via (TSV) in a silicon substrate without using plating equipment or using sputtering equipment or small metal particles, and form an interlayer connection by stacking a plurality of such silicon substrates, a through hole of a silicon substrate is filled using molten solder itself. In detail, solid solder placed above the through hole of the silicon substrate is molten and the molten solder is guided to and filled in the internal space. A metal layer can be deposited on an internal surface of the through hole beforehand, and also an intermetallic compound (IMC) can be formed in a portion other than the metal layer.
    Type: Application
    Filed: August 29, 2012
    Publication date: February 28, 2013
    Applicant: International Business Machines Corporation
    Inventor: Katsuyuki Sakuma
  • Patent number: 8377820
    Abstract: In a “via first/trench last” approach for forming metal lines and vias in a metallization system of a semiconductor device, a combination of two hard masks may be used, wherein the desired lateral size of the via openings may be defined on the basis of spacer elements, thereby resulting in significantly less demanding lithography conditions compared to conventional approaches.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: February 19, 2013
    Assignee: GlobalFoundries Inc.
    Inventors: Thomas Werner, Kai Frohberg, Frank Feustel
  • Publication number: 20130037943
    Abstract: A semiconductor device includes a semiconductor substrate, which includes a through hole that extends through the semiconductor substrate. An insulative layer includes a first surface, an opposite second surface covering the semiconductor substrate, and an opening aligned with the through hole. An insulative film covers an inner wall surface of the semiconductor substrate and the opening. A through electrode is formed in the through hole and the opening inward from the insulative film. The through electrode includes a first end surface that forms a pad exposed from the first surface of the insulative layer. The first end surface of the through electrode is flush with the first surface of the insulative layer.
    Type: Application
    Filed: August 3, 2012
    Publication date: February 14, 2013
    Applicant: Shinko Electric Industries Co., Ltd.
    Inventor: Takaharu Yamano
  • Patent number: 8367444
    Abstract: A display substrate includes a gate line, a gate insulation layer, a data line, a switching element, a protection insulation layer, a gate pad portion and a data pad portion. The gate insulation layer is disposed on the gate line. The switching element is connected to the gate line and the data line. The protection insulation layer is disposed on the switching element. The gate pad portion includes a first gate pad electrode which makes contact with an end portion of the gate line through a first hole formed through the gate insulation layer, and a second gate pad electrode which makes contact with the first gate pad electrode through a second hole formed through the protection insulation layer. The data pad portion includes a data pad electrode which makes contact with an end portion of the data line through a third hole formed through the protection insulation layer.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: February 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Young-Min Kim, Bo-Sung Kim, Seon-Pil Jang, Seung-Hwan Cho, Kang-Moon Jo
  • Publication number: 20130029475
    Abstract: A method of manufacturing a semiconductor device comprises: forming a protective film so as to cover at least a side edge of a substrate; forming a trench, which is annular in shape when viewed oppositely to a first principal surface of the substrate, on the first principal surface by etching using a photoresist pattern; and forming an insulating film so as to fill the trench, to form an insulating ring.
    Type: Application
    Filed: July 23, 2012
    Publication date: January 31, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Takeo TSUKAMOTO
  • Publication number: 20130026649
    Abstract: A semiconductor device includes a plurality of protrusions formed on a first face of the semiconductor device; first bonding portions formed on upper portions of the plurality of protrusions; second bonding portions formed on side faces of the plurality of protrusions; and third bonding portions formed on the first face between the plurality of protrusions, wherein the semiconductor device is configured to bond to an other semiconductor device through the third from the first bonding portions.
    Type: Application
    Filed: June 18, 2012
    Publication date: January 31, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Masashi Takenaka, Katsuyoshi Yamamoto
  • Patent number: 8361904
    Abstract: A semiconductor device and method are disclosed in which an interlayer insulating layer is patterned using multiple overlaying masks to define the geometry of contact plugs and corresponding wiring layers separated by fine pitches.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: January 29, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-seok Lee, Seung-pil Chung, Ji-young Lee
  • Publication number: 20130023118
    Abstract: There is provided a method for forming a pattern comprising, forming a first layer on an underlying layer including a substrate, forming a first mask pattern including a first opening pattern on the first layer, and forming a second mask pattern including a second opening pattern on the first mask pattern, wherein the second opening pattern includes a first region overlapping the first opening pattern and a second region not overlapping the first opening pattern, and etching is performed using the second mask pattern such that a third opening pattern corresponding to the first region and exposing an upper surface of the underlying layer is formed in the first layer, and a fourth opening pattern corresponding to the second region is formed in the first mask pattern.
    Type: Application
    Filed: June 11, 2012
    Publication date: January 24, 2013
    Inventors: Soo-Yeon Jeong, Dong-Kwon Kim, Do-Hyoung Kim, Myeong-Cheol Kim
  • Publication number: 20130015553
    Abstract: A type of high voltage isolation trench, its fabrication method and an MOS device are disclosed. The isolation trench includes a trench extending to a buried oxide layer of a wafer, with high concentration N+ injected to a side wall of the trench, polysilicon being filled in the trench and oxides are being filled between the side wall of the trench and the polysilicon. Multiple composite structures are used to fill the vacant trench to reduce stress brought by trenching so as to improve performance of the device on one hand and to achieve the purpose of increasing breakdown voltage and improving superficial flatness on the other hand.
    Type: Application
    Filed: January 13, 2012
    Publication date: January 17, 2013
    Applicant: NORTH CHINA UNIVERSITY OF TECHNOLOGY
    Inventor: Yanfeng Jiang
  • Publication number: 20130017676
    Abstract: An embodiment of an integrated circuit includes first and second semiconductor layers and a contact region disposed in the second layer. The first semiconductor layer is of a first conductivity, and the second semiconductor layer is disposed over the first layer and has a surface. The contact region is contiguous with the surface, contacts the first layer, includes a first inner conductive portion, and includes an outer conductive portion of the first conductivity. The contact region may extend deeper than conventional contact regions, because where the inner conductive portion is formed from a trench, doping the outer conductive portion via the trench may allow one to implant the dopants more deeply than conventional techniques allow.
    Type: Application
    Filed: January 12, 2012
    Publication date: January 17, 2013
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Pietro MONTANINI, Marta MOTTURA, Giuseppe CROCE
  • Patent number: 8349733
    Abstract: A manufacturing method of a substrate with through electrodes, comprising a substrate having through holes, and through electrodes received in the through holes, includes a through electrode formation step of forming the through electrodes on a support plate, a substrate formation step of forming the substrate, a through electrode reception step of stacking the substrate on the support plate 45 and receiving the through electrodes in the through holes, a resin filling step of filling gaps between side surfaces of the through electrodes and inner walls of the through holes of the substrate 11 with a resin, and a support plate removal step of removing the support plate after the resin filling step.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: January 8, 2013
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Takaharu Yamano
  • Publication number: 20130005145
    Abstract: A method of forming a metal pattern includes depositing a metal material over a photosensitive, insulative material and into a trench positioned over a bond pad. A photoresist material having a substantially planar surface may be formed over the metal material. A portion of the photoresist material may be etched to expose the metal material outside of the trench. The metal material may be isotropically etched to leave sidewalls of the metal protruding above surfaces of the photosensitive, insulative material outside of the trench. Some methods include removing a portion of a dielectric material to form at least one trench. Metal material and photoresist material may be deposited over the trench. A portion of the photoresist material may be etched to expose areas of the metal material. The metal material may be etched to form sidewalls of the metal material that protrude above the dielectric material.
    Type: Application
    Filed: September 11, 2012
    Publication date: January 3, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Christopher J. Gambee, G. Alan VonKrosigk
  • Patent number: 8343866
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions the masking layer inhibits formation of capping layer material on the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case, capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive, a semiconductor material, or an electrically insulative material, and can be formed using any appropriate process, including conventional deposition processes such as electroless deposition, chemical vapor deposition, physical vapor deposition or atomic layer deposition.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: January 1, 2013
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8343791
    Abstract: A method for forming through features in a substrate uses a seed layer deposited over a first substrate, and a second substrate bonded to the seed layer. The features may be formed in the first substrate, by plating a conductive filler material onto the seed layer. The first substrate and the second substrate may then be bonded to a third substrate, and the second substrate is removed, leaving through features and first substrate adhered to the third substrate. The through features may provide at least one of electrical access and motion to a plurality of devices formed on the third substrate, or may impart movement to a moveable feature on the first substrate, wherein the third substrate supports the first substrate after removal of the second substrate.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: January 1, 2013
    Assignee: Innovative Micro Technology
    Inventors: John S. Foster, John C. Harley, Jeffery F. Summers
  • Publication number: 20120326320
    Abstract: The present invention relates to a semiconductor device and the manufacturing method thereof. First, a hole is formed on a first side of a substrate. Then, an isolation layer is formed on an inner side of the hole and the hole is filled with a semiconductor material. Next, functional structures are formed on the first side of the substrate, the substrate is thinned from its second side opposite to the first side to expose the semiconductor material in the hole, and then the semiconductor material in the hole is removed to form a through hole penetrating through the substrate. The through hole is filled with a conductive material, thereby obtaining a final through substrate via (TSV) for facilitating electrical connection between different chips. By using a semiconductor material as TSV dummy material before filling the TSV with metal, the method can be better compatible with the standard process flow.
    Type: Application
    Filed: November 30, 2011
    Publication date: December 27, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventor: Zhongshan Hong
  • Publication number: 20120322257
    Abstract: A method for anisotropically plasma etching a semiconductor wafer is disclosed. The method comprises supporting a wafer in an environment operative to form a plasma, such as a plasma reactor, and providing an etching mixture to the environment. The etching mixture comprises at least one etch component, at least one passivation component, and at least one passivation material removal component.
    Type: Application
    Filed: May 15, 2012
    Publication date: December 20, 2012
    Applicant: Radiation Watch Limited
    Inventor: Russell Morgan
  • Publication number: 20120313256
    Abstract: An integrated circuit structure includes a semiconductor substrate, and a first metal layer over the semiconductor substrate. The first metal layer has a first minimum pitch. A second metal layer is over the first metal layer. The second metal layer has a second minimum pitch smaller than the first minimum pitch.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 13, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lee-Chung Lu, Yuan-Te Hou, Shyue-Shyh Lin, Li-Chun Tien, Dian-Hau Chen
  • Publication number: 20120313257
    Abstract: Certain embodiments provide a semiconductor device including a first substrate, a circuit element, a second substrate, a metal layer, and a radiation plate. The circuit element is formed on a front surface of the first substrate and has an electrode. The second substrate has a first face, and is laminated on the first substrate so that the first face of the second substrate faces a front surface of the first substrate. The second substrate has a via hole arranged on the electrode. The metal layer is formed inside of the via hole. The radiation plate is formed on a second face of the second substrate, and is connected to the metal layer.
    Type: Application
    Filed: December 21, 2011
    Publication date: December 13, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Jeoungchill SHIM
  • Patent number: 8328156
    Abstract: Interconnects are formed on attachment points of a wafer by performing several steps. A plurality of cavities having a predetermined shape is formed in a semiconductor substrate. These cavities are then filled with an interconnect material to form the interconnects. The interconnects are subsequently attached to the attachment points of the wafer.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Bing Dang, Peter A. Gruber, Luc Guerin, Chirag S. Patel
  • Publication number: 20120309128
    Abstract: Pass-through 3D interconnects and microelectronic dies and systems of stacked dies that include such interconnects to disable electrical connections are disclosed herein. In one embodiment, a system of stacked dies includes a first microelectronic die having a backside, an interconnect extending through the first die to the backside, an integrated circuit electrically coupled to the interconnect, and a first electrostatic discharge (ESD) device electrically isolated from the interconnect. A second microelectronic die has a front side coupled to the backside of the first die, a metal contact at the front side electrically coupled to the interconnect, and a second ESD device electrically coupled to the metal contact. In another embodiment, the first die further includes a substrate carrying the integrated circuit and the first ESD device, and the interconnect is positioned in the substrate to disable an electrical connection between the first ESD device and the interconnect.
    Type: Application
    Filed: August 10, 2012
    Publication date: December 6, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Jeffery W. Janzen, Russell D. Slifer, Michael Chaine, Kyle K. Kirby, William M. Hiatt
  • Publication number: 20120308177
    Abstract: An integrated circuit includes a silicon-on-insulator wafer and interconnect layer providing a support for a coplanar waveguide formed above a top side of the support. A through-silicon via is formed from a back side of the support and passing through the silicon-on-insulator wafer to reach the interconnect layer. A trench is formed from the back side of the support underneath the coplanar waveguide. The trench extends over at least an entire length of the coplanar waveguide. The trench passes through the silicon-on-insulator wafer to reach the interconnect layer and may have a substantially same depth as the through-silicon via.
    Type: Application
    Filed: May 30, 2012
    Publication date: December 6, 2012
    Applicant: STMICROELECTRONICS S.A.
    Inventors: Sylvain Joblot, Pierre Bar
  • Publication number: 20120309186
    Abstract: A conductive structure for a semiconductor integrated circuit and method for forming the conductive structure are provided. The semiconductor integrated circuit has a pad and a passivation layer partially covering the pad to define a first opening portion having a first lateral size. The conductive structure electrically connects to the pad via the first opening portion. The conductive structure comprises a support layer defining a second opening portion. A conductor is formed in the second opening portion to serve as a bump having a planar top surface.
    Type: Application
    Filed: August 7, 2012
    Publication date: December 6, 2012
    Inventors: J. B. CHYI, Cheng Tang HUANG
  • Publication number: 20120309112
    Abstract: A ferroelectric memory device includes a field effect transistor formed on a semiconductor substrate, an interlayer insulation film formed on the semiconductor substrate so as to cover the field effect transistor, a conductive plug formed in the interlayer insulation film in contact with the first diffusion region, and a ferroelectric capacitor formed over the interlayer insulation in contact with the conductive plug, wherein the ferroelectric capacitor includes a ferroelectric film and upper and lower electrodes sandwiching the ferroelectric film respectively from above and below, the lower electrode being connected electrically to the conductive plug, a layer containing oxygen being interposed between the conductive plug and the lower electrode, a layer containing nitrogen being interposed between the layer containing oxygen and the lower electrode, a self-aligned layer being interposed between the layer containing nitrogen and the lower electrode.
    Type: Application
    Filed: June 5, 2012
    Publication date: December 6, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Naoya Sashida
  • Publication number: 20120309156
    Abstract: A plurality of contact plugs to be connected to a drain region or a source region of each of transistors constituting a sub-word line driver that drives a sub-word line are formed, by using a SAC line technique of selectively etching an insulation layer that covers each of the transistors by using a mask having line-shaped openings provided across a portion in which the contact plugs of each of the transistors are to be formed.
    Type: Application
    Filed: August 9, 2012
    Publication date: December 6, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Takeshi OHGAMI
  • Patent number: 8324097
    Abstract: A copper-topped interconnect structure allows the combination of high density design areas, which have low current requirements that can be met with tightly packed thin and narrow copper traces, and low density design areas, which have high current requirements that can be met with more widely spaced thick and wide copper traces, on the same chip.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: December 4, 2012
    Assignee: National Semiconductor Corporation
    Inventor: Abdalla Aly Naem
  • Publication number: 20120299195
    Abstract: A stacked via structure for reducing vertical stiffness includes: a plurality of stacked vias, each via disposed on a disc-like structure. The disc-like structure includes a platted through hole landing with a thickness of substantially 3 ?m. The platted through hole landing includes an etched pattern and a copper top surface.
    Type: Application
    Filed: August 8, 2012
    Publication date: November 29, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karan Kacker, Douglas O. Powell, David L. Questad, David J. Russell, Sri M. Sri-Jayantha
  • Publication number: 20120302061
    Abstract: In a semiconductor device having an LDMOSFET, a source electrode is at the back surface thereof. Therefore, to reduce electric resistance between a source contact region in the top surface and the source electrode at the back surface, a poly-silicon buried plug is provided which extends from the upper surface into a P+-type substrate through a P-type epitaxial layer, and is heavily doped with boron. Dislocation occurs in a mono-crystalline silicon region around the poly-silicon buried plug to induce a leakage failure. The semiconductor device has a silicon-based plug extending through the boundary surface between first and second semiconductor layers having different impurity concentrations. At least the inside of the plug is a poly-crystalline region. Of the surface of the poly-crystalline region, the portions located on both sides of the foregoing boundary surface in adjacent relation thereto are each covered with a solid-phase epitaxial region.
    Type: Application
    Filed: August 1, 2012
    Publication date: November 29, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Hiroyuki ARIE, Nobuaki UMEMURA, Nobuyoshi HATTORI, Nobuto NAKANISHI, Kimio HARA, Kyoya NITTA, Makoto ISHIKAWA
  • Publication number: 20120302056
    Abstract: A pattern forming method is disclosed. The method includes the steps of: forming a dielectric layer on a substrate; forming a first patterned mask on the dielectric layer, wherein the first patterned mask comprises an opening; forming a material layer on the dielectric layer and covering the first patterned mask; forming a second patterned mask on the material layer, wherein the second patterned mask comprises a first aperture; forming a second aperture in the second patterned mask after forming the first aperture, wherein the second aperture and the first aperture comprise a gap therebetween and overlap the opening; and utilizing the second patterned mask as an etching mask for partially removing the material layer and the dielectric layer through the first aperture and the second aperture.
    Type: Application
    Filed: August 7, 2012
    Publication date: November 29, 2012
    Inventors: Shin-Chi Chen, Yu-Tsung Lai, Jiunn-Hsiung Liao, Guang-Yaw Hwang
  • Publication number: 20120289047
    Abstract: A method for producing a connection region on a side wall of a semiconductor body is disclosed. A first trench is produced on a first surface of a semiconductor body and extends into the semiconductor body. An insulation layer is formed on the side walls and on the bottom of the first trench, and the first trench is only partially filled. The unfilled part of the first trench is filled with an electrically conductive material. A separating trench is produced along the first trench in such a way that a side wall of the separating trench directly adjoins the first trench. The part of the insulation layer which adjoins the separating trench is at least partially removed, with the result that at least some of the electrically conductive material in the first trench is exposed.
    Type: Application
    Filed: May 10, 2012
    Publication date: November 15, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Carsten Ahrens, Berthold Schuderer, Stefan Willkofer
  • Publication number: 20120286424
    Abstract: A die stack including a die having an annular via with a recessed conductive socket and methods of forming the die stack provide a structure for use in a variety of electronic systems. In an embodiment, a die stack includes a conductive pillar on the top of a die inserted into the recessed conductive socket of another die.
    Type: Application
    Filed: July 23, 2012
    Publication date: November 15, 2012
    Inventor: Dave Pratt
  • Publication number: 20120280397
    Abstract: A method of manufacturing a semiconductor device includes forming an interlayer dielectric layer, forming trenches by etching the interlayer dielectric layer, forming a copper (Cu) layer to fill the trenches, and implanting at least one of an inert element, a nonmetallic element, and a metallic element onto a surface of the Cu layer.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 8, 2012
    Inventors: Jung Geun KIM, Whee Won Cho, Eun Soo Kim
  • Publication number: 20120273963
    Abstract: There is provided herein an electrical cross-over comprising: a substrate having an upper surface and a lower surface; an electrically-conductive valve metal area extending from said upper surface to said lower surface; an electrical isolation structure extending from said upper surface to said lower surface and encompassing said electrically conductive area; a first electrically-conductive trace formed on a first electrical isolation area and at least traversing said electrically-conductive crossing area, and at least two second electrically-conductive traces each one of said at least two electrically-conductive traces is at least partially formed on a corresponding second electrical isolation area, wherein said each one of said at least second electrically-conductive traces at least partially extends into said crossing area thereby generating electrical conductivity between said at least two second electrically-conductive traces and said first electrically-conductive trace is electrically isolated from said
    Type: Application
    Filed: March 22, 2012
    Publication date: November 1, 2012
    Inventors: Uri Mirsky, Shimon Neftin, Lev Furer
  • Publication number: 20120276739
    Abstract: A complementary metal-oxide-semiconductor static random access memory cell includes a plurality of P-channel multi-gate transistors and a plurality of N-channel multi-gate transistors. Each transistor includes a gate electrode and source and drain regions separated by the at least one gate electrode. The SRAM cell further includes a plurality of contacts formed within the source and drain regions of at least one transistor. A plurality of contacts of at least one transistor are recessed a predetermined recess amount, wherein a resistance of the at least one transistor is varied based upon the predetermined recess amount.
    Type: Application
    Filed: July 12, 2012
    Publication date: November 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Josephine B. Chang, Leland Chang, Chung-Hsun Lin, Jeffrey W. Sleight