By Selective Deposition Of Conductive Material In Vias, E.g., Selective Chemical Vapor Deposition On Semiconductor Material, Plating (epo) Patents (Class 257/E21.586)
  • Publication number: 20120276733
    Abstract: In order to provide a semiconductor device that includes a conductive layer on one surface of a semiconductor substrate with an insulating layer therebetween, a bump on the other surface of the semiconductor substrate, and a through-electrode through the semiconductor substrate connecting the conductive layer with the bump, a through-hole is formed from the other surface of the semiconductor substrate to be connected to the conductive layer, a seed metal film is formed on the through-hole and the other surface, a photoresist is formed thereon, a mask layer is formed by processing the photoresist with a pattern larger than the through-hole, a plated film is grown by electrolytic plating so as to integrally form the through-electrode and a part of the bump.
    Type: Application
    Filed: April 25, 2012
    Publication date: November 1, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Yoshihiro SAEKI, Nobuaki HOSHI
  • Publication number: 20120273831
    Abstract: A wire (24) and a pixel electrode (25) are formed on a surface of a flat supporting substrate (21) which surface is opposite to a surface on which a TFT (16) is formed. Accordingly, it is possible to provide an active matrix substrate (2) which makes it possible to suppress a decline in yield.
    Type: Application
    Filed: October 19, 2010
    Publication date: November 1, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Katsuyuki Suga
  • Patent number: 8298948
    Abstract: A method for capping lines includes forming a metal film layer on a copper line by a selective deposition process, the copper line disposed in a dielectric substrate, wherein the depositing also results in the deposition of stray metal material on the surface of the dielectric substrate, and etching with an isotropic etching process to remove a portion of the metal film layer and the stray metal material on the surface of the dielectric substrate, wherein the metal film layer is deposited at an initial thickness sufficient to leave a metal film layer cap remaining on the copper line following the removal of the stray metal material.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Kaushik Chanda, Ronald G. Filippi, Stephan Grunow, David L. Rath, Sujatha Sankaran, Andrew H. Simon, Theodorus Eduardus Standaert, Chih-Chao Yang
  • Patent number: 8298946
    Abstract: The present invention relates to a process for selectively coating certain areas of a composite surface with a conductive film, to a process for fabricating interconnects in microelectronics, and to processes and methods for fabricating integrated circuits, and more particularly to the formation of networks of metal interconnects, and also to processes and methods for fabricating microsystems and connectors.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: October 30, 2012
    Assignee: Alchimer
    Inventors: Christophe Bureau, Sami Ameur
  • Patent number: 8293577
    Abstract: A semiconductor package is disclosed that includes a semiconductor device; a circuit board; and a connection mechanism including a first conductive terminal provided on the semiconductor device, and a second conductive terminal provided on the circuit board side, the connection mechanism electrically connecting the semiconductor device and the circuit board via the first conductive terminal and the second conductive terminal. At least one of the first conductive terminal and the second conductive terminal of the connection mechanism includes one or more carbon nanotubes each having one end thereof fixed to the surface of the at least one of the first conductive terminal and the second conductive terminal, and extending in a direction away from the surface. The first conductive terminal and the second conductive terminal engage each other through the carbon nanotubes.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: October 23, 2012
    Assignee: Fujitsu Limited
    Inventors: Yuji Awano, Masataka Mizukoshi
  • Publication number: 20120264259
    Abstract: A method for forming a semiconductor device is provided. The method includes providing a semiconductor substrate having a main horizontal surface, an opposite surface and a completely embedded dielectric region. A deep vertical trench is etched from the main horizontal surface into the semiconductor substrate using the dielectric region as an etch stop. A vertical transistor structure is formed in the semiconductor substrate. A first metallization in ohmic contact with the transistor structure is formed on the main horizontal surface. The semiconductor substrate is thinned at the opposite surface at least close to the dielectric region. Further, a semiconductor device is provided.
    Type: Application
    Filed: April 18, 2011
    Publication date: October 18, 2012
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Franz Hirler, Andreas Peter Meiser
  • Publication number: 20120261727
    Abstract: A semiconductor device and a method for manufacturing a local interconnect structure for a semiconductor device is provided. The method includes forming removable sacrificial sidewall spacers between sidewall spacers and outer sidewall spacers on two sides of a gate on a semiconductor substrate, and forming contact through-holes at source/drain regions in the local interconnect structure between the sidewall spacer and the outer sidewall spacer on the same side of the gate immediately after removing the sacrificial sidewall spacers. Once the source/drain through-holes are filled with a conductive material to form contact vias, the height of the contact vias shall be same as the height of the gate. The contact through-holes, which establish the electrical connection between a subsequent first layer of metal wiring and the source/drain regions or the gate region at a lower level in the local interconnect structure, shall be made in the same depth.
    Type: Application
    Filed: February 27, 2011
    Publication date: October 18, 2012
    Inventors: Huicai Zhong, Qingqing Liang
  • Publication number: 20120256262
    Abstract: The field effect transistor comprises a substrate successively comprising an electrically conducting support substrate, an electrically insulating layer and a semiconductor material layer. The counter-electrode is formed in a first portion of the support substrate facing the semi-conductor material layer. The insulating pattern surrounds the semi-conductor material layer to delineate a first active area and it penetrates partially into the support layer to delineate the first portion. An electrically conducting contact passes through the insulating pattern from a first lateral surface in contact with the counter-electrode through to a second surface. The contact is electrically connected to the counter-electrode.
    Type: Application
    Filed: April 4, 2012
    Publication date: October 11, 2012
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Maud VINET, Laurent GRENOUILLET, Yannick LE TIEC, Nicolas POSSEME
  • Patent number: 8283650
    Abstract: A phase change memory cell having a flat lower bottom electrode and a method for fabricating the same. The method includes forming a dielectric layer over a substrate including an array of conductive contacts, patterning, a via having a low aspect ratio such that a depth of the via is less than a width thereof, to a contact surface of the substrate corresponding to each of the array of conductive contacts to be connected to access circuitry, etching the dielectric layer and depositing electrode material over the etched dielectric layer and within each via, and planarizing the electrode material to form a plurality of lower bottom electrodes on each of the conductive contacts.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: October 9, 2012
    Assignees: International Business Machines Corporation, Macronix International Co., Ltd.
    Inventors: Matthew J. Breitwisch, Eric A. Joseph, Chung H. Lam, Hsiang-Lan Lung, Alejandro G. Schrott
  • Publication number: 20120248581
    Abstract: A semiconductor device is provided, which includes an annular insulation separation portion penetrating a semiconductor substrate, and an electrode penetrating the semiconductor substrate in a region surrounded by the annular insulation separation portion, wherein the insulation separation portion includes at least a first film that gives compressive stress in a depth direction on the side of a substrate, a second film that gives tensile stress in the depth direction is formed on the first film, and film thicknesses of the first and second films are adjusted so that the compressive stress and the tensile stress are almost balanced.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 4, 2012
    Inventors: Satoru SUGIYAMA, Yuuta NISHIOKA
  • Publication number: 20120252208
    Abstract: A method of forming a metal interconnection of semiconductor device is provided. The method includes forming a low-k dielectric layer including an opening; forming a barrier metal pattern conformally covering a bottom surface and an inner sidewall of the opening; forming a metal pattern exposing a part of the inner sidewall of the barrier metal pattern in the opening; forming a metal capping layer on the top surfaces of the metal pattern and the low-k dielectric layer using a selective chemical vapor deposition process, wherein the thickness of the metal capping layer on the metal pattern is greater than the thickness of the metal capping layer on the low-k dielectric layer; and forming a metal capping pattern covering the top surface of the metal pattern by planarizing the metal capping layer down to the top surface of the low-k dielectric layer.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 4, 2012
    Inventors: WooJin Jang, KyoungWoo Lee
  • Publication number: 20120252211
    Abstract: A method is provided for simultaneously forming functional light structures and grooves configured to hold electrical circuitry on a lacquer layer deposited on a base substrate, which is for use in an optoelectronic device. The method includes applying the lacquer layer on the base substrate and heating it beyond its glass transition temperature to soften it. Thereafter, a stamper is used to simultaneously replicate the grooves and the functional light structures onto the lacquer layer. The stamper has a mating surface, which has negative impressions of the grooves on its first portion and the functional light structures on its second portion. Thereafter, the lacquer layer is cooled and the electrical circuitry is formed in the grooves on the lacquer layer.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 4, 2012
    Inventors: Jan Matthijs ter Meulen, Patrick Peeters
  • Patent number: 8278220
    Abstract: A microscopic metallic structure is produced by creating or exposing a patterned region of increased conductivity and then forming a conductor on the region using electrodeposition. In some embodiments, a microscopic metallic structure is formed on a substrate, and then the substrate is etched to remove the structure from the substrate. In some embodiments, a focused beam of gallium ion without a deposition precursor gas scans a pattern on a silicon substrate, to produce a conductive pattern on which a copper structure is then formed by electrochemical deposition of one or more metals. The structure can be freed from the substrate by etching, or can used in place. A beam can be used to access an active layer of a transistor, and then a conductor can be electrodeposited to provide a lead for sensing or modifying the transistor operation while it is functioning.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: October 2, 2012
    Assignee: FEI Company
    Inventors: Theresa Holtermann, Anthony Graupera, Michael Dibattista
  • Patent number: 8278216
    Abstract: The present invention provides methods of selectively depositing refractory metal and metal nitride cap layers onto copper lines inlaid in a dielectric layer. The methods result in formation of a cap layer on the copper lines without significant formation on the surrounding dielectric material. The methods typically involve exposing the copper lines to a nitrogen-containing organo-metallic precursor and a reducing agent under conditions that the metal or metal nitride layer is selectively deposited. In a particular embodiment, an amino-containing tungsten precursor is used to deposit a tungsten nitride layer. Deposition methods such as CVD or ALD may be used.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: October 2, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Glenn Alers, Nerissa Draeger, Michael Carolus, Julie Carolus, legal representative
  • Publication number: 20120235261
    Abstract: A via hole is formed on a base substrate before a device circuit is formed, and thermal oxidation is performed to form a thermal oxidation layer on a surface of the base substrate on which the device circuit is formed and a surface in the via hole. The device circuit having a conductive section is formed on the base substrate after the thermal oxidation, and then, a conductive body is embedded in the via hole.
    Type: Application
    Filed: March 6, 2012
    Publication date: September 20, 2012
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Tsuyoshi YODA, Nobuaki HASHIMOTO
  • Publication number: 20120238093
    Abstract: A method of fabricating a semiconductor device includes forming a stacked structure in which 2n (here, n is an integer which is 2 or more) deposited sacrificial layers and 2n deposited insulating layers disposed on the 2n deposited sacrificial layers respectively are alternately deposited in a third direction perpendicular to a first direction and a second direction on a substrate having an upper surface extending in the first and second directions which are perpendicular to each other. Methods include forming a recess group including 2n?1 first recesses penetrating 20 through 2n?1 deposited sacrificial layers and forming a buried insulating layer group including 2n?1 buried insulating layers filling the 2n?1 first recesses respectively. A contact plug group including 2n contact plugs penetrating an uppermost deposited insulating layer of the 2n deposited insulating layers and the 2n?1 buried insulating layers may be formed.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 20, 2012
    Inventors: Sang-yong Park, Woon-kyung Lee, Jin-taek Park
  • Publication number: 20120236652
    Abstract: According to one embodiment, a nonvolatile semiconductor memory device includes a first memory string including a first memory cell and a second memory cell aligned along a first axis, a source contact provided at a source-side end of the first memory string, a second memory string that extends along the first axis and includes a third memory cell that aligns with the first memory cell along a second axis perpendicular to the first axis, and a shield conductive layer. The shield conductive layer extends along the first axis between the first memory string and the second memory string and is electrically connected to the source contact.
    Type: Application
    Filed: February 17, 2012
    Publication date: September 20, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Tatsuya OKAMOTO
  • Publication number: 20120238069
    Abstract: A method includes forming an ESD active device on a substrate, forming a ground plane on a backside of the substrate and forming at least one through wafer via electrically connected to a negative power supply of the ESD active device and the ground plane to provide a low series resistance path to the substrate.
    Type: Application
    Filed: June 4, 2012
    Publication date: September 20, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Steven H. VOLDMAN
  • Patent number: 8268710
    Abstract: A method for fabricating a semiconductor device includes providing a semiconductor substrate including a memory cell region and peripheral circuit regions. Gate electrodes including gate conductive patterns and capping patterns are formed on the memory cell region and the peripheral circuit regions. An interlayer dielectric covering the gate electrodes is formed. The interlayer dielectric is patterned to form first contact holes exposing the semiconductor substrate along side of the gate electrode in the memory cell region and second contact holes exposing a portion of the capping pattern in the peripheral circuit region such that a bottom surface of the second contact hole is spaced apart from a top surface of the gate conductive pattern. A first plug conductive layer is filled in the first contact holes and a second plug conductive layer is filled in the second contact holes.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: September 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoungho Kwon, Boun Yoon, Daeik Kim, Sung-Min Cho
  • Publication number: 20120231625
    Abstract: A method of forming wiring of a semiconductor device includes: forming an insulating resin on a main surface of a substrate such that an opening portion defining a wiring pattern is provided in the insulating resin; forming a first wiring layer made of a first metal on a bottom surface and side surfaces of the opening portion surrounding and a surface of the insulating resin opposite to the main surface of the substrate, the first wiring layer having a bottom portion formed on the bottom surface of the opening portion and side portions formed on the side surfaces, the bottom portion having a thickness greater than a thickness of at least one of the side portions; and cutting the insulating resin and the first wiring layer such that the insulating resin and the first wiring layer are exposed.
    Type: Application
    Filed: March 7, 2012
    Publication date: September 13, 2012
    Inventors: Takayuki TAJIMA, Akira Tojo
  • Publication number: 20120223398
    Abstract: The present invention relates to a method for manufacturing a contact and a semiconductor device having said contact. The present invention proposes to form first a trench contract of relatively large size, then to form one or more dielectric layer(s) within the trench contact, and then to remove the upper part of the dielectric layer(s) and to fill the same with a conductive material. The use of such a method makes it easy to form a trench contact of relatively large size which is easy for manufacturing; besides, since dielectric layer(s) is/are formed in the trench contact, thence capacitance between a source/drain trench contact and a gate electrode is reduced accordingly.
    Type: Application
    Filed: February 27, 2011
    Publication date: September 6, 2012
    Inventors: Huicai Zhong, Qingqing Liang
  • Publication number: 20120225530
    Abstract: A method of fabricating a semiconductor memory device includes forming a hard mask pattern using a damascene method on a lower mold layer stacked on a substrate and etching the lower mold layer using the hard mask pattern as an etch mask to define a protrusion under the hard mask pattern. A support pattern is formed on a top surface of the etched lower mold layer, the top surface of the etched lower mold layer being located at a lower level than a top surface of the protrusion. A lower electrode supported by the support pattern is formed.
    Type: Application
    Filed: February 21, 2012
    Publication date: September 6, 2012
    Inventors: Jong-Kyu KIM, Sangsup Jeong, Kukhan Yoon, Junsoo Lee, SungII Cho, Yong-Joon Choi
  • Publication number: 20120225554
    Abstract: A method of manufacturing a semiconductor device, the method including sequentially forming a lower material film, a middle material film, and an upper material film on a semiconductor substrate; and forming an opening that vertically penetrates the upper material film, the middle material film, and the lower material film by etching the upper material film, the middle material film, and the lower material film, wherein the middle material film and the upper material film are formed of material films having etch rates lower than an etch rate of the lower material film with respect to an etchant for etching the lower material film.
    Type: Application
    Filed: March 1, 2012
    Publication date: September 6, 2012
    Inventors: Kukhan YOON, Cheolkyu LEE, Junsoo LEE, Jong-Kyu KIM, Seong-Mo KOO, Ki-jin PARK
  • Patent number: 8258009
    Abstract: A circuit substrate includes the following elements. A conductive layer and a dielectric layer are disposed on an inner circuit structure in sequence, and a plurality of conductive blind vias are embedded in the dielectric layer and connected to a portion of the conductive layer. A plating seed layer is disposed between each of the first blind vias and the first conductive layer. Another conductive layer is disposed on the dielectric layer, wherein a portion of the another conductive layer is electrically connected to the conductive layer through the conductive blind vias. A third plating seed layer is disposed between the third conductive layer and each of the first blind vias and on the first dielectric layer.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: September 4, 2012
    Assignee: Advanced Semiconductor Engineering Inc.
    Inventors: Chih-Cheng Lee, Ho-Ming Tong
  • Publication number: 20120217651
    Abstract: Methods and apparatus for forming through-vias are presented, for example, a method for forming a via in a portion of a semiconductor wafer comprising a substrate. The method comprises forming a trench surrounding a first part of the substrate such that the first part is separated from a second part of the substrate, forming a hole through the substrate within the first part, and forming a first metal within the hole. The trench extends through the substrate. The first metal extends from a front surface of the substrate to a back surface of the substrate. The via comprises the hole and the first metal.
    Type: Application
    Filed: May 10, 2012
    Publication date: August 30, 2012
    Applicant: International Business Machines Corporation
    Inventors: John Michael Cotte, Christopher Vincent Jahnes, Bucknell Chapman Webb
  • Publication number: 20120220079
    Abstract: In a method for manufacturing a semiconductor device, an opening formed in a semiconductor substrate by using a mask and covering an inner side face of the opening with a sidewall protective film. The mask is removed, while a part of the sidewall protective film remains.
    Type: Application
    Filed: January 30, 2012
    Publication date: August 30, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Seiya FUJII
  • Publication number: 20120220125
    Abstract: A method for fabricating a semiconductor device includes providing a substrate including first landing plugs and second landing plugs that are arrayed on a first line, forming a capping layer over the substrate, forming hole-type first trenches that expose the second landing plugs by selectively etching the capping layer, forming an insulation layer over the substrate including the first trenches, forming line-type second trenches that are stretched on the first line while overlapping with the first trenches by selectively etching the insulation layer, and forming a first conductive layer inside the second trenches.
    Type: Application
    Filed: February 28, 2012
    Publication date: August 30, 2012
    Inventor: Hong-Gu YI
  • Publication number: 20120217512
    Abstract: A lateral power transistor device comprises a substrate and a multi-layer mesa structure comprising a heterojunction. A filled trench region is located adjacent the multi-layer mesa structure, the filled trench region being occupied by a metal.
    Type: Application
    Filed: November 19, 2009
    Publication date: August 30, 2012
    Inventor: Philippe Renaud
  • Patent number: 8247312
    Abstract: A method of printing an ink on a wafer surface configured with a set of non-rounded peaks and a set of non-rounded valleys is disclosed. The method includes exposing the wafer including at least some non-rounded peaks and at least some of the non-rounded valleys in a region to an etchant. The method further includes depositing the ink on the region, wherein a set of rounded peaks and a set of rounded valleys are formed.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: August 21, 2012
    Assignee: Innovalight, Inc.
    Inventors: Malcolm Abbott, Maxim Kelman, Karel Vanheusden
  • Publication number: 20120205819
    Abstract: A method for reducing capacitances between semiconductor devices is provided. A plurality of contact structures is formed in a dielectric layer. A mask is formed to cover the contact structures wherein the mask has mask features for exposing parts of the dielectric layer wherein the mask features have widths. The widths of the mask features are shrunk with a sidewall deposition. Gaps are etched into the dielectric layer through the sidewall deposition. The gaps are closed to form pockets in the gaps.
    Type: Application
    Filed: April 26, 2012
    Publication date: August 16, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: S. M. Reza Sadjadi, Zhi-Song Huang
  • Patent number: 8241944
    Abstract: The present disclosure includes a high density resistive random access memory (RRAM) device, as well as methods of fabricating a high density RRAM device. One method of forming an RRAM device includes forming a resistive element having a metal-metal oxide interface. Forming the resistive element includes forming an insulative material over the first electrode, and forming a via in the insulative material. The via is conformally filled with a metal material, and the metal material is planarized to within the via. A portion of the metal material within the via is selectively treated to create a metal-metal oxide interface within the via. A second electrode is formed over the resistive element.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: August 14, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Joseph N. Greeley, John A. Smythe
  • Patent number: 8242015
    Abstract: On a surface of an object to be treated, a Mn-containing thin film or CuMn-containing alloy thin film is formed by heat treatment (CVD or ALD) by using a Mn-containing source gas (or Mn-containing source gas and a Cu-containing gas) and an oxygen-containing gas (for instance, water vapor) as a processing gas. The Mn-containing thin film or the CuMn-containing alloy thin film can be formed with high step coverage in a fine recess formed on the surface of the object to be treated.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: August 14, 2012
    Assignees: Tokyo Electron Limited, Tohoku University
    Inventors: Kenji Matsumoto, Hitoshi Itoh, Koji Neishi, Junichi Koike
  • Publication number: 20120199990
    Abstract: A module includes a semiconductor chip and a conductive layer arranged over the semiconductor chip. The module also includes a spacer structure arranged to deflect the conductive layer away from the semiconductor chip.
    Type: Application
    Filed: April 17, 2012
    Publication date: August 9, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Thorsten Meyer, Grit Sommer
  • Publication number: 20120202312
    Abstract: An interlayer insulating film is disposed above an image pickup region and a peripheral region of the semiconductor substrate. An opening is formed in the interlayer insulating film at a position overlying a photoelectric conversion portion. A waveguide member is formed above the image pickup region and the peripheral region of the semiconductor substrate. A part of the waveguide member, which part is disposed above the peripheral region, is removed such that the interlayer insulating film is exposed.
    Type: Application
    Filed: February 6, 2012
    Publication date: August 9, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Kentaro Suzuki, Takehito Okabe, Hiroaki Sano, Junji Iwata
  • Publication number: 20120202347
    Abstract: The various embodiments of the present invention provide carbon nanotube (CNT)-based TSVs and methods of making the same. The CNT-based TSVs embodiments comprise a silicon wafer having a plurality of through-vias defined therein, and a support layer comprising a CNT catalyst layer disposed beneath the silicon wafer to facilitate CNT growth through the plurality of through-vias. Once CNT arrays have grown inside and through the through-vias, the support layer and accompanying CNT catalyst layer can be removed from the silicon wafer, which will result in the CNTs remaining in the TSVs.
    Type: Application
    Filed: February 6, 2012
    Publication date: August 9, 2012
    Applicant: Georgia Tech Research Corporation
    Inventors: WILLIAM JUDSON READY, Stephan Turano
  • Patent number: 8236682
    Abstract: Provided is a method of forming a contact structure. The method includes forming a conductive pattern on a substrate. An interlayer insulating layer covering the conductive pattern is formed. The interlayer insulating layer is patterned to form an opening partially exposing the conductive pattern. An oxide layer is formed on substantially the entire surface of the substrate on which the opening is formed. A reduction process is performed to reduce the oxide layer. Here, the oxide layer on a bottom region of the opening is reduced to a catalyst layer, and the oxide layer on a region other than the bottom region of the opening is reduced to a non-catalyst layer. A nano material is grown from the catalyst layer, so that a contact plug is formed in the opening.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: August 7, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Rae Byun, Suk-Ho Joo, Min-Joon Park
  • Publication number: 20120193797
    Abstract: A 3D integrated circuit structure comprises a first chip, wherein the first chip comprises: a substrate; a semiconductor device formed on the substrate and a dielectric layer formed on both the substrate and the semiconductor device; a conductive material layer formed within a through hole penetrating through both the substrate and the dielectric layer; a stress releasing layer surrounding the through hole; and a first interconnecting structure connecting the conductive material layer with the semiconductor device. By forming a stress releasing layer to partially release the stress caused by the conductive material in the via, the stress caused by mismatch of CTE between the conductive material and the semiconductor (for example, silicon) surrounding it can be reduced, thereby enhancing the performance of the semiconductor device and the corresponding 3D integrated circuit consisting of the semiconductor devices.
    Type: Application
    Filed: February 22, 2011
    Publication date: August 2, 2012
    Inventor: Huilong Zhu
  • Publication number: 20120196436
    Abstract: A manufacturing method for a buried circuit structure includes providing a substrate having at least a trench formed therein, forming a firs conductive layer on the substrate blanketly, forming a patterned photoresist having a surface lower than an opening of the trench in the trench, removing the first conductive layer not covered by the patterned photoresist to form a second conductive layer having a top lower than an opening of the trench in the trench, removing the patterned photoresist, performing a dry etching process to remove the second conductive layer from the bottom of the trench to form a third conductive layer on the sidewalls of the trench, performing a selective metal chemical vapor deposition to form a metal layer having a surface lower than a surface of the substrate, and forming a protecting layer filling the trench on the metal layer.
    Type: Application
    Filed: April 9, 2012
    Publication date: August 2, 2012
    Inventors: Le-Tien Jung, Tai-Sheng Feng
  • Publication number: 20120187509
    Abstract: A contact arrangement for establishing a spaced, electrically conducting connection between a first wafer and a second wafer includes an electrical connection contact, a passivation layer on the electrical connection contact, and a dielectric spacer layer arranged on the passivation layer, wherein the contact arrangement is arranged at least on one of the first wafer and the second wafer, wherein the contact arrangement comprises trenches at least partly filled with a first material capable of forming a metal-metal connection, wherein the trenches are continuous trenches from the dielectric spacer layer through the passivation layer as far as the electrical connection contact, and wherein the first material is arranged in the trenches from the electrical connection contact as far as the upper edge of the trenches.
    Type: Application
    Filed: September 15, 2009
    Publication date: July 26, 2012
    Applicant: Robert Bosch GmbH
    Inventors: Knut Gottfried, Maik Wiemer, Axel Franke, Achim Trautmann, Ando Feyh, Sonja Knies, Joerg Froemel
  • Publication number: 20120187569
    Abstract: According to one embodiment, a semiconductor device includes a first insulating film formed on a substrate and including a first area and a second area; a groove formed in the first area of the first insulating film; a plurality of first wiring lines formed in the groove and on the first insulating film, and a second insulating film covering a top surface of the first insulating film and top surfaces of the first wiring lines, the plurality of first wiring lines are parallel to a sidewall of the groove and apart from each other with a first predetermined distance, and the first wiring line closest to the sidewall is apart from the sidewall with a second predetermined distance.
    Type: Application
    Filed: December 23, 2011
    Publication date: July 26, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yumi HAYASHI, Akihiro KAJITA, Makoto WADA
  • Publication number: 20120190196
    Abstract: A method includes patterning a photoresist layer on a structure to define an opening and expose a first planar area on a substrate layer, forming doped portions of the substrate layer in the first planar area, removing a portion of the photoresist to form a second opening defining a second planar area on the substrate layer, and etching to form a first cavity having a first depth defined by the first opening to expose a first contact in the structure and to form a second cavity defined by the second opening to expose a second contact in the structure.
    Type: Application
    Filed: March 16, 2012
    Publication date: July 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. Farooq, Emily R. Kinser, Richard Wise, Hakeem Yusuff
  • Patent number: 8227357
    Abstract: Methods of fabricating a silicon oxide layer using an inorganic silicon precursor and methods of fabricating a semiconductor device using the same are provided. The methods of fabricating a semiconductor device include forming a tunnel insulating layer and a charge storage layer on a substrate; forming a dielectric layer structure on the charge storage layer using an atomic layer deposition (ALD) method, the dielectric layer structure including a first dielectric layer formed of silicon oxide, a second dielectric layer on the first dielectric layer formed of a material different from the material forming the first dielectric layer, and a third dielectric layer formed of the silicon oxide on the second dielectric layer; and forming a control gate on the dielectric layer structure.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: July 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Sun Yi, Ki-Hyun Hwang, Jin-Tae Noh, Jae-Young Ahn, Si-Young Choi
  • Patent number: 8222142
    Abstract: A generation of a void in a recessed section is inhibited. A method for manufacturing a semiconductor device includes: an operation of forming recessed sections in an insulating film, which is formed on a semiconductor substrate; an operation of forming a seed film in the recessed section; an operation of forming a cover metal film in the recessed section; an operation of selectively removing the cover metal film to expose the seed film over the bottom section of the recessed section; and an operation to carrying out a growth of a plated film to fill the recessed section by utilizing the seed film exposed in the bottom section of the recessed section as a seed.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: July 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Akira Furuya
  • Publication number: 20120171864
    Abstract: The method of manufacturing the semiconductor device comprises the steps of forming a MOS transistor 26 including a gate electrode 16 and source/drain diffused layers 24 formed in the silicon substrate 10 on both sides of the gate electrode 16, forming a NiPt film 28 over the silicon substrate 10, covering the gate electrode 16 and the source/drain diffused layers 26, making thermal processing to react the NiPt film 28 with the upper parts of the source/drain diffused layers 24 to form Ni(Pt)Si films 34a, 34b on the source/drain diffused layers 24, and removing selectively the unreacted part of the NiPt film 28 using a chemical liquid of above 71° C. including 71° C. containing hydrogen peroxide and forming an oxide film on the surface of the Ni(Pt)Si films 34a, 34b.
    Type: Application
    Filed: March 14, 2012
    Publication date: July 5, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Shinichi AKIYAMA, Kazuo KAWAMURA, Masanori UCHIDA
  • Publication number: 20120171859
    Abstract: Embodiments of the invention provide a method of creating vias and trenches with different length. The method includes depositing a plurality of dielectric layers on top of a semiconductor structure with the plurality of dielectric layers being separated by at least one etch-stop layer; creating multiple openings from a top surface of the plurality of dielectric layers down into the plurality of dielectric layers by a non-selective etching process, wherein at least one of the multiple openings has a depth below the etch-step layer; and continuing etching the multiple openings by a selective etching process until one or more openings of the multiple openings that are above the etch-stop layer reach and expose the etch-stop layer. Semiconductor structures made thereby are also provided.
    Type: Application
    Filed: March 8, 2012
    Publication date: July 5, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shom Ponoth, David V. Horak, Takeshi Nogami, Chih-Chao Yang
  • Publication number: 20120164831
    Abstract: Methods of forming a semiconductor device are provided. The methods may include forming a second insulation pattern on a first insulation pattern. The first insulation pattern may cover a plurality of conductive structures, and may include a hole therein. The second insulation pattern may include a trench therein that is connected with the hole. The methods may also include forming a spacer on sidewalls of the hole and the trench. The methods may further include forming a wiring structure in the hole and the trench.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 28, 2012
    Inventors: Sun-Young Kim, Jun-Eui Song, Tae-Wan Lim
  • Publication number: 20120161326
    Abstract: Provided is a composition for filling a Through Silicon Via (TSV) including: a metal powder; a solder powder; a curable resin; a reducing agent; and a curing agent. A TSV filling method using the composition and a substrate including a TSV plug formed of the composition are also provided.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 28, 2012
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Kwang-Seong Choi, Yong Sung Eom, Hyun-cheol Bae, Jong Tae Moon
  • Publication number: 20120156875
    Abstract: Systems and methods for laser based processing of layered materials. Methods may include selectively adjusting ultrafast laser output of an ultrafast laser device based upon one or more physical attributes of a layer of the layered material, applying the ultrafast laser output of the ultrafast laser device to the layer of the layered material along a tool path to ablate the layer along the tool path, and then re-executing the steps to ablate one or more additional layers, the re-execution occurring for each distinct layer of the layered material that is to be ablated.
    Type: Application
    Filed: September 16, 2011
    Publication date: June 21, 2012
    Inventors: Ramanujapuram A. Srinivas, Michael Greenberg, David Gaudiosi, Michael Mielke, Tim Booth
  • Publication number: 20120153502
    Abstract: A method of manufacturing a structure comprising substantially planar electronic devices comprises providing an active material layer having a plurality of insulative features formed therein. The features at least partly inhibit electrical current flow and define at least a first substantially planar electronic device in the layer having at least first and second terminals comprising an area of the active material layer. A patterned dielectric layer having an exposed surface patterned with at least a first depression arranged over the first terminal is formed over the active material layer. Dielectric material is removed from at least a base of the first depression to expose a first terminal surface and form a hole through the dielectric material to the first terminal. The hole is at least partly filled with electrically conductive material to form an electrical connection to the first terminal. Corresponding structures and electrical circuits are also described and claimed.
    Type: Application
    Filed: September 2, 2010
    Publication date: June 21, 2012
    Inventors: Richard David Price, Ian Barton
  • Publication number: 20120146153
    Abstract: A chip package includes: a substrate; a drain and a source regions located in the substrate; a gate located on or buried in the substrate; a drain conducting structure, a source conducting structure, and a gate conducting structure, disposed on the substrate and electrically connected to the drain region, the source region, and the gate, respectively; a second substrate disposed beside the substrate; a second drain and a second source region located in the second substrate, wherein the second drain region is electrically connected to the source region; a second gate located on or buried in the second substrate; and a second source and a second gate conducting structure disposed on the second substrate and electrically connected to the second source region and the second gate, respectively, wherein terminal points of the drain, the source, the gate, the second source, and the second gate conducting structures are substantially coplanar.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 14, 2012
    Inventors: Ying-Nan WEN, Ho-Yin YIU, Yen-Shih HO, Shu-Ming CHANG, Chien-Hung LIU, Shih-Yi LEE, Wei-Chung YANG