Characterized By Insulating Layer (epo) Patents (Class 257/E29.132)
  • Patent number: 11700724
    Abstract: A semiconductor structure includes a semiconductor substrate including a first active region and a chop region. The semiconductor structure also includes a source/drain region disposed in the first active region, an isolation structure disposed in the chop region, and a gate structure extending at least across the isolation structure in the chop region. The gate structure includes a gate electrode layer and a gate lining layer lining on the gate electrode layer. The gate lining layer includes a first portion having an upper surface that is lower than a bottom surface of the source/drain region.
    Type: Grant
    Filed: May 14, 2021
    Date of Patent: July 11, 2023
    Assignee: WINBOND ELECTRONICS CORP.
    Inventor: Hung-Yu Wei
  • Patent number: 8941184
    Abstract: A semiconductor device including an NMOS region and a PMOS region; the NMOS region having a gate structure including a first high-k gate dielectric, a first work function setting metal and a gate electrode fill material; the PMOS region having a gate structure comprising a second high-k gate dielectric, a second work function setting metal and a gate electrode fill material; wherein the first gate dielectric is different than the second gate dielectric and the first work function setting metal is different than the second work function setting metal. Also disclosed are methods for fabricating the semiconductor device which include a gate last process.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: January 27, 2015
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Takashi Ando, Changhwan Choi, Kisik Choi, Vijay Narayanan
  • Patent number: 8941190
    Abstract: Semiconductor structures and methods of manufacture semiconductors are provided which relate to transistors. The method of forming a transistor includes thermally annealing a selectively patterned dopant material formed on a high-k dielectric material to form a high charge density dielectric layer from the high-k dielectric material. The high charge density dielectric layer is formed with thermal annealing-induced electric dipoles at locations corresponding to the selectively patterned dopant material.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: January 27, 2015
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 8907408
    Abstract: A field-effect semiconductor device is provided. The field-effect semiconductor device includes a semiconductor body with a first surface defining a vertical direction. In a vertical cross-section the field-effect semiconductor device further includes a vertical trench extending from the first surface into the semiconductor body. The vertical trench includes a field electrode, a cavity at least partly surrounded by the field electrode, and an insulation structure substantially surrounding at least the field electrode. Further, a method for producing a field-effect semiconductor device is provided.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: December 9, 2014
    Assignee: Infineon Technologies Austria AG
    Inventors: Stefan Sedlmaier, Markus Zundel, Franz Hirler, Johannes Baumgartl, Anton Mauder, Ralf Siemieniec, Oliver Blank, Michael Hutzler
  • Publication number: 20140124876
    Abstract: A PFET-based semiconductor gate structure providing a midgap work function for threshold voltage control between that of a NFET and a PFET is created by including an annealed layer of relatively thick TiN to dominate and shift the overall work function down from that of PFET. The structure has a PFET base covered with a high-k dielectric, a layer of annealed TiN, a layer of unannealed TiN, a thin barrier over the unannealed TiN, and n-type metal over the thin barrier.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Inventors: Hoon Kim, Kisik Choi
  • Patent number: 8633537
    Abstract: A semiconductor devices including non-volatile memories and methods of fabricating the same to improve performance thereof are provided. Generally, the device includes a memory transistor comprising a polysilicon channel region electrically connecting a source region and a drain region formed in a substrate, an oxide-nitride-nitride-oxide (ONNO) stack disposed above the channel region, and a high work function gate electrode formed over a surface of the ONNO stack. In one embodiment the ONNO stack includes a multi-layer charge-trapping region including an oxygen-rich first nitride layer and an oxygen-lean second nitride layer disposed above the first nitride layer. Other embodiments are also disclosed.
    Type: Grant
    Filed: July 1, 2012
    Date of Patent: January 21, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Igor Polishchuk, Sagy Levy, Krishnaswamy Ramkumar
  • Patent number: 8546211
    Abstract: Replacement gate stacks are provided, which increase the work function of the gate electrode of a p-type field effect transistor (PFET). In one embodiment, the work function metal stack includes a titanium-oxide-nitride layer located between a lower titanium nitride layer and an upper titanium nitride layer. The stack of the lower titanium nitride layer, the titanium-oxide-nitride layer, and the upper titanium nitride layer produces the unexpected result of increasing the work function of the work function metal stack significantly. In another embodiment, the work function metal stack includes an aluminum layer deposited at a temperature not greater than 420° C. The aluminum layer deposited at a temperature not greater than 420° C. produces the unexpected result of increasing the work function of the work function metal stack significantly.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 1, 2013
    Assignee: International Business Machines Corporation
    Inventors: Keith Kwong Hon Wong, Michael P. Chudzik, Unoh Kwon
  • Patent number: 8541780
    Abstract: It is an object to manufacture a highly reliable semiconductor device including a thin film transistor whose electric characteristics are stable. An insulating layer which covers an oxide semiconductor layer of the thin film transistor contains a boron element or an aluminum element. The insulating layer containing a boron element or an aluminum element is formed by a sputtering method using a silicon target or a silicon oxide target containing a boron element or an aluminum element. Alternatively, an insulating layer containing an antimony (Sb) element or a phosphorus (P) element instead of a boron element covers the oxide semiconductor layer of the thin film transistor.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: September 24, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Junichiro Sakata, Kosei Noda, Masayuki Sakakura, Yoshiaki Oikawa, Hotaka Maruyama
  • Patent number: 8525274
    Abstract: A semiconductor device includes a substrate, a semiconductor, a first surface passivation film including nitride, a second passivation film, a gate electrode, and a source electrode and a drain electrode. The semiconductor layer is provided on the substrate. The first surface passivation film including nitride is provided on the semiconductor layer and has at least two openings. The second surface passivation film covers an upper surface and a side surface of the first surface passivation film. The gate electrode is provided on a part of the second surface passivation film. The source electrode and the drain electrode are respectively provided on the two openings. In addition, the second surface passivation film includes a material of which melting point is higher than the melting points of the gate electrode, the source electrode, and the drain electrode.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: September 3, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiharu Takada
  • Patent number: 8445975
    Abstract: A semiconductor device has a substrate, a gate dielectric layer, and a metal gate electrode on the gate dielectric layer. The gate dielectric layer includes an oxide layer having a dielectric constant (k) greater than 4, and silicon concentrated at interfaces of the oxide layer with the substrate and with the metal gate electrode. A method of fabricating a semiconductor device includes forming a removable gate over a substrate with a gate dielectric layer between the removable gate and the substrate, forming a dielectric layer over the substrate and exposing an upper surface of the removable gate, removing the removable gate leaving an opening in the dielectric layer, forming a protective layer on the gate dielectric layer and lining the opening, and forming a metal gate electrode in the opening. The protective layer has a graded composition between the gate dielectric layer and the metal gate electrode.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: May 21, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Pan, John Pellerin
  • Patent number: 8431926
    Abstract: It is an object to manufacture a highly reliable semiconductor device including a thin film transistor whose electric characteristics are stable. An insulating layer which covers an oxide semiconductor layer of the thin film transistor contains a boron element or an aluminum element. The insulating layer containing a boron element or an aluminum element is formed by a sputtering method using a silicon target or a silicon oxide target containing a boron element or an aluminum element. Alternatively, an insulating layer containing an antimony (Sb) element or a phosphorus (P) element instead of a boron element covers the oxide semiconductor layer of the thin film transistor.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: April 30, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Junichiro Sakata, Kosei Noda, Masayuki Sakakura, Yoshiaki Oikawa, Hotaka Maruyama
  • Patent number: 8368138
    Abstract: Semiconductor devices and methods of forming the same. The semiconductor devices include a tunnel insulation layer on a substrate, a floating gate on the tunnel insulation layer, a gate insulation layer on the floating gate, a low-dielectric constant (low-k) region between the top of the floating gate and the gate insulation layer, the low-k region having a lower dielectric constant than a silicon oxide, and a control gate on the gate insulation layer.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: February 5, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Lack Choi, Sunghoi Hur, Jaeduk Lee, Jungdal Choi
  • Patent number: 8324620
    Abstract: It is an object to manufacture a highly reliable semiconductor device including a thin film transistor whose electric characteristics are stable. An insulating layer which covers an oxide semiconductor layer of the thin film transistor contains a boron element or an aluminum element. The insulating layer containing a boron element or an aluminum element is formed by a sputtering method using a silicon target or a silicon oxide target containing a boron element or an aluminum element. Alternatively, an insulating layer containing an antimony (Sb) element or a phosphorus (P) element instead of a boron element covers the oxide semiconductor layer of the thin film transistor.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: December 4, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Junichiro Sakata, Kosei Noda, Masayuki Sakakura, Yoshiaki Oikawa, Hotaka Maruyama
  • Patent number: 8319295
    Abstract: A new, effective and cost-efficient method of introducing Fluorine into Hf-based dielectric gate stacks of planar or multi-gate devices (MuGFET), resulting in a significant improvement in both Negative and Positive Bias Temperature Instabilities (NBTI and PBTI) is provided. The new method uses an SF6 based metal gate etch chemistry for the introduction of Fluorine, which after a thermal budget within the standard process flow, results in excellent F passivation of the interfaces. A key advantage of the method is that it uses the metal gate etch for F introduction, requiring no extra implantations or treatments. In addition to the significant BTI improvement with the novel method, a better Vth control and increased drive current on MuGFET devices is achieved.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: November 27, 2012
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Nadine Collaert, Paul Zimmerman, Marc Demand, Werner Boullart, Adelina K. Shickova
  • Patent number: 8188602
    Abstract: To provide a semiconductor device having copper wiring layers and organic insulating resin layers with less separation and its manufacture method. A semiconductor device has: a semiconductor substrate formed with a number of semiconductor elements; a first interlayer insulating film formed above the semiconductor substrate and having a first wiring recess; a first copper wiring embedded in the first wiring recess; a second interlayer insulating film having a second wiring recess, the second interlayer insulating film including a copper diffusion preventing layer formed on the first copper wiring and the first interlayer insulating film, an oxide film formed on the copper diffusion preventing layer, and an organic insulating resin layer formed on the oxide film; and a second copper wiring embedded in the second wiring recess.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: May 29, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Satoshi Otsuka, Shun-ichi Fukuyama
  • Patent number: 8168547
    Abstract: The transistor characteristics of a MIS transistor provided with a gate insulating film formed to contain oxide with a relative dielectric constant higher than that of silicon oxide are improved. After a high dielectric layer made of hafnium oxide is formed on a main surface of a semiconductor substrate, the main surface of the semiconductor substrate is heat-treated in a non-oxidation atmosphere. Next, an oxygen supplying layer made of hafnium oxide deposited by ALD and having a thickness smaller than that of the high dielectric layer is formed on the high dielectric layer, and a cap layer made of tantalum nitride is formed. Thereafter, the main surface of the semiconductor substrate is heat-treated.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: May 1, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Toshihide Nabatame
  • Patent number: 8125038
    Abstract: A dielectric film containing a HfO2/ZrO2 nanolaminate and a method of fabricating such a dielectric film produce a reliable dielectric layer having an equivalent oxide thickness thinner than attainable using SiO2. A dielectric layer containing a HfO2/ZrO2 nanolaminate may be realized in a wide variety of electronic devices and systems.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8102013
    Abstract: The use of atomic layer deposition (ALD) to form an amorphous dielectric layer of titanium oxide (TiOX) doped with lanthanide elements, such as samarium, europium, gadolinium, holmium, erbium and thulium, produces a reliable structure for use in a variety of electronic devices. The dielectric structure is formed by depositing titanium oxide by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing a layer of a lanthanide dopant, and repeating to form a sequentially deposited interleaved structure. Such a dielectric layer may be used as the gate insulator of a MOSFET, as a capacitor dielectric, or as a tunnel gate insulator in flash memories, because the high dielectric constant (high-k) of the layer provides the functionality of a thinner silicon dioxide layer, and because the reduced leakage current of the dielectric layer when the percentage of the lanthanide element doping is optimized.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: January 24, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 8063434
    Abstract: An embodiment of a semiconductor device includes a non-volatile memory transistor including an oxide-nitride-oxide (ONO) dielectric stack on a surface of a semiconductor substrate, the ONO dielectric stack comprising a multilayer charge storage layer including a silicon-rich, oxygen-lean top silicon oxynitride layer and a silicon-rich, oxygen-rich bottom silicon oxynitride layer, and a metal oxide semiconductor (MOS) logic transistor including a gate oxide and a high work function gate electrode.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: November 22, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Igor Polishchuk, Sagy Levy, Krishnaswamy Ramkumar
  • Patent number: 8035173
    Abstract: An NFET containing a first high-k dielectric portion and a PFET containing a second high-k gate dielectric portion are formed on a semiconductor substrate. A gate sidewall nitride is formed on the gate of the NFET, while the sidewalls of the PFET remain free of the gate sidewall nitride. An oxide spacer is formed directly on the sidewalls of a PFET gate stack and on the gate sidewall nitride on the NFET. After high temperature processing, the first and second dielectric portions contain a non-stoichiometric oxygen deficient high-k dielectric material. The semiconductor structure is subjected to an anneal in an oxygen environment, during which oxygen diffuses through the oxide spacer into the second high-k dielectric portion. The PFET comprises a more stoichiometric high-k dielectric material and the NFET comprises a less stoichiometric high-k dielectric material. Threshold voltages of the PFET and the NFET are optimized by the present invention.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huiming Bu, Eduard A. Cartier, Bruce B. Doris, Young-Hee Kim, Barry Linder, Vijay Narayanan, Vamsi K. Paruchuri, Michelle L. Steen
  • Patent number: 8008693
    Abstract: A thin film semiconductor transistor structure has a substrate with a dielectric surface, and an active layer made of a semiconductor thin film exhibiting a crystallinity as equivalent to the single-crystalline. To fabricate the transistor, the semiconductor thin film is formed on the substrate, which film includes a mixture of a plurality of crystals which may be columnar crystals and/or capillary crystal substantially parallel to the substrate. The resultant structure is then subject to thermal oxidation in a chosen atmosphere containing halogen, thereby removing away any metallic element as contained in the film. This may enable formation of a mono-domain region in which the individual columnar or capillary crystal is in contact with any adjacent crystals and which is capable of being substantially deemed to be a single-crystalline region without presence or inclusion of any crystal grain boundaries therein. This region is for use in forming the active layer of the transistor.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: August 30, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Akiharu Miyanaga, Takeshi Fukunaga
  • Patent number: 7986016
    Abstract: According to an aspect of the present invention, there is provided a semiconductor device including: a substrate that includes a semiconductor region including Ge as a primary component; a compound layer that is formed above the semiconductor region, that includes Ge and that has a non-metallic characteristic; an insulator film that is formed above the compound layer; an electrode that is formed above the insulator film; and source/drain regions that is formed in the substrate so as to sandwich the electrode therebetween.
    Type: Grant
    Filed: June 10, 2009
    Date of Patent: July 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiki Kamata, Akira Takashima
  • Publication number: 20110156121
    Abstract: A method for forming a device is presented. A substrate prepared with a feature having first and second adjacent surfaces is provided. A device layer is formed on the first and second adjacent surfaces of the feature. A first portion of the device layer over the first adjacent surface includes nano-crystals, whereas a second portion of the device layer over the second adjacent surface is devoid of nano-crystals.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Lee Wee Teo, Chunshan Yin, Shyue Seng Tan, Chüng Foong Tan, Jae Gon Lee, Elgin Quek, Purakh Raj Verma
  • Patent number: 7964922
    Abstract: A structure, design structure and method of manufacturing is provided for a dual metal gate Vt roll-up structure, e.g., multi-work function metal gate. The multi-work function metal gate structure comprises a first type of metal with a first work function in a central region and a second type of metal with a second work function in at least one edge region adjacent the central region. The first work-function is different from the second work function.
    Type: Grant
    Filed: August 15, 2008
    Date of Patent: June 21, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7928496
    Abstract: A nonvolatile semiconductor memory device having high charge retention characteristics and capable of improving leakage characteristics of a dielectric film disposed between a charge storage layer and a control gate electrode, and manufacturing method thereof is disclosed. According to one aspect, there is provided a semiconductor memory device comprising a first electrode disposed on a first insulator on a semiconductor substrate, a second insulator disposed on the first electrode, a second electrode disposed on the second insulator, and diffusion layers disposed in the semiconductor substrate, wherein the second insulator including a silicon-rich silicon nitride film containing more silicon than that in a stoichiometric silicon nitride film, and a silicon oxide film formed on the silicon-rich silicon nitride film, and wherein the silicon-rich silicon nitride film has a ratio of a silicon concentration and a nitrogen concentration set to 1:0.9 to 1:1.2.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: April 19, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Wakako Takeuchi, Hiroshi Akahori, Atsuhiro Sato
  • Patent number: 7923761
    Abstract: A semiconductor device includes a gate insulation film that is formed of pyroceramics including an amorphous matrix layer, which is provided on a major surface of a silicon substrate, and crystalline phases lines with a high dielectric constant, which are dispersed in the amorphous matrix layer. The semiconductor device further includes a gate electrode that is provided on the gate insulation film.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: April 12, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Zhengwu Jin
  • Patent number: 7902588
    Abstract: A nonvolatile semiconductor memory device includes: a tunneling insulating film; a floating gate electrode; an inter-electrode insulating film, in which an interface facing the floating gate electrode and an interface facing a control gate electrode are defined as the first interface and the second interface, respectively; and a control gate electrode. The inter-electrode insulating film includes one or more first elements selected from rare earth elements, one or more second elements selected from Al, Ti, Zr, Hf, Ta, Mg, Ca, Sr and Ba, and oxygen. A composition ratio of the first element, which is defined as the number of atoms of the first element divided by that of the second element, is changed between the first interface and the second interface, and the composition ratio in the vicinity of the first interface is lower than that in the vicinity of the second interface.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: March 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukie Nishikawa, Akira Takashima, Koichi Muraoka
  • Publication number: 20100308383
    Abstract: A semiconductor device having a porous insulation layer with a permeation prevention layer coating the pores for use in protecting against hydrogen permeation into source and drain areas is presented. The semiconductor device includes a conductive pattern, an insulation layer, and a permeation prevention layer. The conductive pattern is formed on a semiconductor substrate. The insulation layer is formed on a surface of the conductive pattern and includes a porous layer having a plurality of pores. The permeation prevention layer is formed on exposed surfaces of the pores in the porous layer.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 9, 2010
    Inventor: Min Jung SHIN
  • Patent number: 7847367
    Abstract: An integrated circuit device includes an integrated circuit substrate and a first gate pattern on the substrate. A non-conductive barrier layer pattern is on the first gate pattern. The barrier layer pattern has openings at selected locations therein extending to the first gate pattern. A second gate pattern is on the barrier layer pattern and extends into the opening in the barrier layer pattern to electrically connect the second gate pattern to the first gate pattern.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: December 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dae-Ik Kim
  • Patent number: 7833891
    Abstract: A semiconductor device and method is provided that has an oxygen diffusion barrier layer between a high-k dielectric and BOX. The method includes depositing a diffusion barrier layer on a BOX layer and gate structure and etching a portion of the diffusion barrier layer from sidewalls of the gate structure. The method further includes depositing a high-k dielectric on the diffusion barrier layer and the gate structure.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kagguo Cheng, Bruce B. Doris
  • Patent number: 7829978
    Abstract: An N-MOS and/or P-MOS device having enhanced performance such as an FET suitable for use in a CMOS circuit. The device comprises both an “L-like” shaped layer or spacer on the side walls of a gate structure as well as a CESL (contact-etch stop layer) that covers the gate structure and surrounding substrate to induce increase tensile stresses in the N-MOS device and increased compressive stresses in the P-MOS device.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: November 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shang-Chih Chen, Shih-Hsieng Huang, Chih-Hao Wang
  • Patent number: 7816727
    Abstract: A blocking dielectric engineered, charge trapping memory cell includes a charge trapping element that is separated from a gate by a blocking dielectric including a buffer layer in contact with the charge trapping element, such as silicon dioxide which can be made with high-quality, and a second capping layer in contact with said one of the gate and the channel. The capping layer has a dielectric constant that is higher than that of the first layer, and preferably includes a high-? material. The second layer also has a conduction band offset that is relatively high. A bandgap engineered tunneling layer between the channel and the charge trapping element is provided which, in combination with the multilayer blocking dielectric described herein, provides for high-speed erase operations by hole tunneling. In an alternative, a single layer tunneling layer is used.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: October 19, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Sheng-Chih Lai, Hang-Ting Lue, Chien-Wei Liao
  • Patent number: 7804146
    Abstract: A semiconductor device includes an N-type MOS transistor and a P-type MOS transistor. The N-type MOS transistor has a first gate insulating film and a first gate electrode. The P-type MOS transistor has a second gate insulating film and a second gate electrode. The first gate insulating film and the second gate insulating film are made of silicon oxynitride, and the first gate insulating film and the second gate insulating film are different from each other in nitrogen concentration profile.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: September 28, 2010
    Assignee: Panasonic Corporation
    Inventors: Hiroshi Ohkawa, Junji Hirase, Hisashi Ogawa, Kenji Yoneda
  • Patent number: 7800160
    Abstract: A semiconductor device includes a tunnel insulation film formed on a semiconductor substrate, a floating gate electrode formed on the tunnel insulation film, an inter-electrode insulation film formed on the floating gate electrode, a control gate electrode formed on the inter-electrode insulation film, a pair of oxide films which are formed between the tunnel insulation film and the floating gate electrode and are formed near lower end portions of a pair of side surfaces of the floating gate electrode, which are parallel in one of a channel width direction and a channel length direction, and a nitride film which is formed between the tunnel insulation film and the floating gate electrode and is formed between the pair of oxide films.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: September 21, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshio Ozawa
  • Patent number: 7772678
    Abstract: After the surface of the substrate is cleaned, an interface layer or an antidiffusion film is formed. A metal oxide film is built upon the antidiffusion film Annealing is done in an NH3 atmosphere so as to diffuse nitrogen in the metal oxide film. Building of the metal oxide film and diffusion of nitrogen are repeated several times, whereupon annealing is done in an O2 atmosphere. By annealing the film in an O2 atmosphere at a temperature higher than 650° C., the leak current in the metal oxide film is controlled.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: August 10, 2010
    Assignees: Rohm Co., Ltd., Horiba, Ltd., Renesas Technology Corp.
    Inventors: Kunihiko Iwamoto, Koji Tominaga, Toshihide Nabatame, Tomoaki Nishimura
  • Patent number: 7759734
    Abstract: A semiconductor device including a plurality of doped regions, a metal layer and a polysilicon layer is provided. The doped regions are disposed in a substrate. The metal layer includes a plurality of metal line patterns. The polysilicon layer disposed between the substrate and the metal layer includes a gate pattern and at least one guard ring pattern. The at least one guard ring pattern connects to the gate pattern and surrounds at least one of the metal line patterns. One of the metal line patterns connects to the gate pattern. The others of the metal line patterns connect to one of the doped regions in the substrate.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: July 20, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yuh-Turng Liu, Shyan-Yhu Wang
  • Patent number: 7755128
    Abstract: A semiconductor device, such as a transistor or capacitor is provided. The device includes a substrate, a gate dielectric over the substrate, and a conductive gate dielectric film over the gate dielectric. The gate dielectric includes a doped hafnium zirconium oxide containing one or more dopant elements selected from Group II, Group XIII, silicon, and rare earth elements of the Periodic Table. According to one embodiment, the conductive gate dielectric can contain doped hafnium zirconium nitride or doped hafnium zirconium oxynitride.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: July 13, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20100148227
    Abstract: An electronic device includes a transistor, wherein the electronic device can include a semiconductor layer having a primary surface, a channel region, a gate electrode, a source region, a conductive electrode, and an insulating layer lying between the primary surface of the semiconductor layer and the conductive electrode. The insulating layer has a first region and a second region, wherein the first region is thinner than the second region. The channel region, gate electrode, source region, or any combination thereof can lie closer to the first region than the second region. The thinner portion can allow for faster switch of the transistor, and the thicker portion can allow a relatively large voltage difference to be placed across the insulating layer. Alternative shapes for the transitions between the different regions of the insulating layer and exemplary methods to achieve such shapes are also described.
    Type: Application
    Filed: December 17, 2008
    Publication date: June 17, 2010
    Inventor: Gary H. Loechelt
  • Patent number: 7727844
    Abstract: Embodiments relate to a gate structure of a semiconductor device and a method of manufacturing the gate structure. An oxide layer may be formed on a silicon substrate before a gate insulating layer is formed. The oxide layer may be etched to form an opening exposing a channel area of the silicon substrate. After forming the gate insulating layer in the opening, a gate conductive layer may be deposited and etched to form a gate. The oxide layer may be continuously etched such that the oxide layer remains at both edge portions of the gate insulating layer. The oxide layer formed at both edge portions of the gate insulating layer may protect the gate insulating layer during a gate etching process, and may improve a reliability of the semiconductor device.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: June 1, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dae Kyeun Kim
  • Patent number: 7723726
    Abstract: An exemplary thin film transistor substrate (30) includes a base substrate (31) and a gate electrode (32) formed on the base substrate. The gate electrode includes a bonding layer (321) formed on the base substrate and an electrically conductive layer (322) formed on the bonding layer. The bonding layer includes one of aluminum oxide and zirconium dioxide.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: May 25, 2010
    Assignee: Innolux Display Corp.
    Inventor: Shuo-Ting Yan
  • Patent number: 7719065
    Abstract: A ruthenium layer for a dielectric layer containing a lanthanide layer and a method of fabricating such a combination of ruthenium layer and dielectric layer produce a reliable structure for use in a variety of electronic devices. A ruthenium or a conductive ruthenium oxide layer may be formed on the lanthanide oxide dielectric layer.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: May 18, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7719051
    Abstract: A charge holding insulating film in a memory cell is constituted by a laminated film composed of a bottom insulating film, a charge storage film, and a top insulating film on a semiconductor substrate. Further, by performing a plasma nitriding treatment to the bottom insulating film, a nitride region whose nitrogen concentration has a peak value and is 1 atom % or more is formed on the upper surface side in the bottom insulating film. The thickness of the nitride region is set to 0.5 nm or more and 1.5 nm or less, and the peak value of nitrogen concentration is set to 5 atom % or more and 40 atom % or less, and a position of the peak value of nitrogen concentration is set within 2 nm from the upper surface of the bottom insulating film, thereby suppressing an interaction between the bottom insulating film and the charge storage film.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: May 18, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Hirotaka Hamamura, Itaru Yanagi, Toshiyuki Mine
  • Patent number: 7709826
    Abstract: Atomic layer epitaxy (ALE) is applied to the fabrication of new forms of rare-earth oxides, rare-earth nitrides and rare-earth phosphides. Further, ternary compounds composed of binary (rare-earth oxides, rare-earth nitrides and rare-earth phosphides) mixed with silicon and or germanium to form compound semiconductors of the formula RE-(O, N, P)—(Si,Ge) are also disclosed, where RE=at least one selection from group of rare-earth metals, O=oxygen, N=nitrogen, P=phosphorus, Si=silicon and Ge=germanium. The presented ALE growth technique and material system can be applied to silicon electronics, opto-electronic, magneto-electronics and magneto-optics devices.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: May 4, 2010
    Assignee: Translucent, Inc.
    Inventor: Petar B. Atanackovic
  • Publication number: 20100084742
    Abstract: The present invention provides a method for manufacturing a gallium nitride semiconductor epitaxial crystal substrate with a dielectric film which has a low gate leak current and negligibly low gate lag, drain lag, and current collapse characteristics. The method for manufacturing a semiconductor epitaxial crystal substrate is a method for manufacturing a semiconductor epitaxial crystal substrate in which a dielectric layer of a nitride dielectric material or an oxide dielectric material in an amorphous form functioning as a passivation film or a gate insulator is provided on a surface of a nitride semiconductor crystal layer grown by metal organic chemical vapor deposition. In the method, after the nitride semiconductor crystal layer is grown in an epitaxial growth chamber, the dielectric layer is grown on the nitride semiconductor crystal layer in the epitaxial growth chamber.
    Type: Application
    Filed: September 14, 2007
    Publication date: April 8, 2010
    Applicant: SUMITOMO CHEMICAL COMPANY LIMITED
    Inventors: Hiroyuki Sazawa, Naohiro Nishikawa, Masahiko Hata
  • Patent number: 7691709
    Abstract: A method of fabricating a flash memory includes forming a first oxide film over a semiconductor substrate, forming a metal film over the first oxide film, forming a photoresist pattern on the metal film, etching the metal film using the photoresist pattern as a mask and forming a metal film pattern, forming a second oxide film overlying the metal film pattern, and heat-treating the first and second oxide films at high temperature and processing the metal film pattern using metal oxide crystallization.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd
    Inventor: Hye-Sung Lee
  • Patent number: 7679148
    Abstract: The task of the present invention is to enable formation of a gate insulating film structure having a good-quality interface between a silicon oxide film and silicon in an interface between a high dielectric constant thin film and a silicon substrate to provide a semiconductor device and a semiconductor manufacturing method which are capable of improving interface electrical characteristics, which has been a longstanding task in practical use of a high dielectric constant insulating film. A metal layer deposition process and a heat treatment process which supply metal elements constituting a high dielectric constant film on a surface of a base silicon oxide film 103 allow the metal elements to be diffused into the base silicon oxide film 103 to thereby form an insulating film structure 105 as a gate insulating film, after forming the base silicon oxide film 103 on a surface of a silicon substrate 101.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: March 16, 2010
    Assignee: NEC Corporation
    Inventors: Heiji Watanabe, Hirohito Watanabe, Toru Tatsumi, Shinji Fujieda
  • Patent number: 7675117
    Abstract: A planar, double-gate transistor structure comprising upper and lower gate stacks that each comprises a single-phase high-K dielectric gate dielectric is disclosed. The transistor structure is particularly suitable for fully-depleted silicon-on-insulator electronics having gate-lengths less than 65 nm.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: March 9, 2010
    Assignee: Translucent, Inc.
    Inventor: Petar Atanackovic
  • Patent number: 7663195
    Abstract: In a P-channel power MIS field effect transistor formed on a silicon surface having substantially a (110) plane, a gate insulation film is used which provides a gate-to-source breakdown voltage of 10 V or more, and planarizes the silicon surface, or contains Kr, Ar, or Xe.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: February 16, 2010
    Assignees: Yazaki Corporation
    Inventors: Tadahiro Ohmi, Akinobu Teramoto, Hiroshi Akahori, Keiichi Nii, Takanori Watanabe
  • Publication number: 20100019358
    Abstract: A semiconductor device and method is provided that has an oxygen diffusion barrier layer between a high-k dielectric and BOX. The method includes depositing a diffusion barrier layer on a BOX layer and gate structure and etching a portion of the diffusion barrier layer from sidewalls of the gate structure. The method further includes depositing a high-k dielectric on the diffusion barrier layer and the gate structure.
    Type: Application
    Filed: July 23, 2008
    Publication date: January 28, 2010
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris
  • Publication number: 20100006953
    Abstract: An integrated circuit including a dielectric layer and a method for manufacturing. One embodiment provides a substrate having a first side and a second side and at least one dielectric layer. The dielectric layer includes a zirconium oxide and at least one dopant selected from the group consisting of hafnium and titanium and having a first side and a second side. The first side of the dielectric layer is arranged at least on a subarea of the first side of the semiconductor substrate.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 14, 2010
    Applicant: QIMONDA AG
    Inventor: Tim Boescke