Comprising Lattice Mismatched Active Layers (e.g., Sige Strained Layer Transistors) (epo) Patents (Class 257/E29.193)
  • Patent number: 7939902
    Abstract: The present invention is a field effect transistor having a strained semiconductor substrate and Schottky-barrier source and drain electrodes, and a method for making the transistor. The bulk charge carrier transport characteristic of the Schottky barrier field effect transistor minimizes carrier surface scattering, which enables the strained substrate to provide improved power and speed performance characteristics in this device, as compared to conventional devices.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: May 10, 2011
    Assignee: Avolare 2, LLC
    Inventors: John P. Snyder, John M. Larson
  • Patent number: 7898028
    Abstract: A process for fabricating a MOSFET device featuring a channel region comprised with a silicon-germanium component is provided. The process features employ an angled ion implantation procedure to place germanium ions in a region of a semiconductor substrate underlying a conductive gate structure. The presence of raised silicon shapes used as a diffusion source for a subsequent heavily-doped source/drain region, the presence of a conductive gate structure, and the removal of dummy insulator previously located on the conductive gate structure allow the angled implantation procedure to place germanium ions in a portion of the semiconductor substrate to be used for the MOSFET channel region. An anneal procedure results in the formation of the desired silicon-germanium component in the portion of semiconductor substrate to be used for the MOSFET channel region.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: March 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sun-Jay Chang, Shien-Yang Wu
  • Patent number: 7892927
    Abstract: A transistor including a germanium-rich channel. The germanium-rich channel is produced by oxidation of the silicon contained in the silicon-germanium intermediate layer starting from the lower surface of the said intermediate layer. The germanium atoms are therefore caused to migrate towards the upper surface of the silicon-germanium intermediate layer, and are stopped by the gate insulating layer. The migration of the atoms during the oxidation step is thus less prejudicial to the performance of the transistor, since the gate insulator of the transistor has already been produced and is not modified during this step. The migration of the germanium atoms towards the gate insulator, which is immobile, leads to a limitation of the surface defects between the channel and the insulator.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: February 22, 2011
    Assignees: STMicroelectronics SA, STMicroelectronics (Crolles 2) SAS
    Inventors: Stephane Monfray, Thomas Skotnicki, Didier Dutartre, Alexandre Talbot
  • Patent number: 7892905
    Abstract: A process for forming a strained channel region for a MOSFET device via formation of adjacent silicon-germanium source/drain regions, has been developed. The process features either blanket deposition of a silicon-germanium layer, or selective growth of a silicon-germanium layer on exposed portions of a source/drain extension region. A laser anneal procedure results in formation of a silicon-germanium source/drain region via consumption of a bottom portion of the silicon-germanium layer and a top portion of the underlying source/drain region. Optimization of the formation of the silicon-germanium source/drain region via laser annealing can be achieved via a pre-amorphization implantation (PAI) procedure applied to exposed portions of the source/drain region prior to deposition of the silicon-germanium layer. Un-reacted top portions of the silicon-germanium layer are selectively removed after the laser anneal procedure.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: February 22, 2011
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Kuang Kian Ong, Kin Leong Pey, King Jien Chui, Ganesh Samudra, Yee Chia Yeo, Yung Fu Chong
  • Publication number: 20110037100
    Abstract: A nitride semiconductor device includes: first through third nitride semiconductor layers formed in sequence over a substrate. The second nitride semiconductor layer has a band gap energy larger than that of the first nitride semiconductor layer. The third nitride semiconductor layer has an opening. A p-type fourth nitride semiconductor layer is formed so that the opening is filled therewith. A gate electrode is formed on the fourth nitride semiconductor layer.
    Type: Application
    Filed: October 26, 2010
    Publication date: February 17, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Masahiro HIKITA, Tetsuzo UEDA
  • Patent number: 7884354
    Abstract: Germanium on insulator (GOI) semiconductor substrates are generally described. In one example, a GOI semiconductor substrate comprises a semiconductor substrate comprising an insulative surface region wherein a concentration of dopant in the insulative surface region is less than a concentration of dopant in the semiconductor substrate outside of the insulative surface region and a thin film of germanium coupled to the insulative surface region of the semiconductor substrate wherein the thin film of germanium and the insulative surface region are simultaneously formed by oxidation anneal of a thin film of silicon germanium (Si1-xGex) deposited to the semiconductor substrate wherein x is a value between 0 and 1 that provides a relative amount of silicon and germanium in the thin film of Si1-xGex.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: February 8, 2011
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Been-Yih Jin, Willy Rachmady, Marko Radosavljevic
  • Patent number: 7884353
    Abstract: Semiconductor structures and devices including strained material layers having impurity-free zones, and methods for fabricating same. Certain regions of the strained material layers are kept free of impurities that can interdiffuse from adjacent portions of the semiconductor. When impurities are present in certain regions of the strained material layers, there is degradation in device performance. By employing semiconductor structures and devices (e.g., field effect transistors or “FETs”) that have the features described, or are fabricated in accordance with the steps described, device operation is enhanced.
    Type: Grant
    Filed: October 25, 2004
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matthew Currie, Anthony Lochtefeld, Richard Hammond, Eugene Fitzgerald
  • Patent number: 7871877
    Abstract: By incorporating a semiconductor species having the same valence and a different covalent radius compared to the base semiconductor material on the basis of an ion implantation process, a strain-inducing material may be positioned locally within a transistor at an appropriate manufacturing stage, thereby substantially not contributing to overall process complexity and also not affecting the further processing of the semiconductor device. Hence, a high degree of flexibility may be provided with respect to enhancing transistor performance in a highly local manner.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: January 18, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Uwe Griebenow, Kai Frohberg, Martin Gerhardt
  • Patent number: 7868317
    Abstract: A semiconductor structure includes a semiconductor substrate having a first lattice constant; a gate dielectric on the semiconductor substrate; a gate electrode on the semiconductor substrate; and a stressor having at least a portion in the semiconductor substrate and adjacent the gate electrode. The stressor has a tilted sidewall on a side adjacent the gate electrode. The stressor includes a first stressor layer having a second lattice constant substantially different from the first lattice constant; and a second stressor layer on the first stressor layer, wherein the second stressor has a third lattice constant substantially different from the first and the second lattice constants.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: January 11, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Mong-Song Liang, Tze-Liang Lee, Jr-Hung Li
  • Patent number: 7863162
    Abstract: A manufacturing method of a semiconductor device in which the oxygen and carbon concentrations are reduced at the interface of each layer making up the semiconductor multilayer film. A first semiconductor layer is formed on a single-crystal substrate in a first reactor; the substrate is transferred from the first reactor to a second reactor through a transfer chamber; and a second semiconductor layer is formed on the first semiconductor layer in the second reactor. During substrate transfer, hydrogen is supplied when the number of hydrogen atoms bonding with the surface atoms of the first semiconductor layer is less than the number of surface atoms of the first semiconductor layer, and the supply of hydrogen is stopped when the number of hydrogen atoms bonding with the surface atoms of the first semiconductor layer is greater than the number of surface atoms of the first semiconductor layer.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 4, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Isao Suzumura, Katsuya Oda
  • Patent number: 7859013
    Abstract: Disclosed are embodiments of a MOSFET with defined halos that are bound to defined source/drain extensions and a method of forming the MOSFET. A semiconductor layer is etched to form recesses that undercut a gate dielectric layer. A low energy implant forms halos. Then, a COR pre-clean is performed and the recesses are filled by epitaxial deposition. The epi can be in-situ doped or subsequently implanted to form source/drain extensions. Alternatively, the etch is immediately followed by the COR pre-clean, which is followed by epitaxial deposition to fill the recesses. During the epitaxial deposition process, the deposited material is doped to form in-situ doped halos and, then, the dopant is switched to form in-situ doped source/drain extensions adjacent to the halos. Alternatively, after the in-situ doped halos are formed the deposition process is performed without dopants and an implant is used to form source/drain extensions.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: December 28, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Judson R. Holt, Rangarajan Jagannathan, Wesley C. Natzle, Michael R. Sievers, Richard S. Wise
  • Patent number: 7859057
    Abstract: A method and device for protecting wide bandgap devices from failing during suppression of voltage transients. An improvement in avalanche capability is achieved by placing one or more diodes, or a PNP transistor, across the blocking junction of the wide bandgap device.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: December 28, 2010
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Joseph A. Yedinak, Richard L. Woodin, Christopher L. Rexer, Praveen Muralheedaran Shenoy, Kwanghoon Oh, Chongman Yun
  • Patent number: 7847281
    Abstract: A first film made of SiGe is formed over a support substrate whose surface layer is made of Si. A gate electrode is formed over a partial area of the first film, and source and drain regions are formed in the surface layer of the support substrate on both sides of the gate electrode. The gate electrode and source and drain regions constitute a first field effect transistor. A first stressor internally containing compressive strain or tensile strain is formed over the first film on both sides of the gate electrode of the first field effect transistor. The first stressor forms strain in a channel region.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: December 7, 2010
    Assignee: Fujitsu Limited
    Inventors: Takashi Mimura, Atsushi Yamada
  • Patent number: 7825401
    Abstract: A semiconductor workpiece including a substrate, a relaxed buffer layer including a graded portion formed on the substrate, and at least one strained transitional layer within the graded portion of the relaxed buffer layer and method of manufacturing the same. The at least one strained transitional layer reduces an amount of workpiece bow due to differential coefficient of thermal expansion (CTE) contraction of the relaxed buffer layer relative to CTE contraction of the substrate.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 2, 2010
    Assignees: ASM America, Inc., S.O.I. Tec Silicon On Insulator Technologies, S.A.
    Inventors: Nyles W. Cody, Christophe Figuet, Mark Kennard
  • Patent number: 7821109
    Abstract: A structure and a method of making the structure. The structure includes a field effect transistor including: a first and a second source/drain formed in a silicon substrate, the first and second source/drains spaced apart and separated by a channel region in the substrate; a gate dielectric on a top surface of the substrate over the channel region; and an electrically conductive gate on a top surface of the gate dielectric; and a dielectric pillar of a first dielectric material over the gate; and a dielectric layer of a second dielectric material over the first and second source/drains, sidewalls of the dielectric pillar in direct physical contact with the dielectric layer, the dielectric pillar having no internal stress or an internal stress different from an internal stress of the dielectric layer.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: October 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Patent number: 7816766
    Abstract: A semiconductor device includes a gate electrode formed on a silicon substrate in correspondence to a channel region via a gate insulation film, and source and drain regions of p-type formed in the silicon substrate at respective outer sides of sidewall insulation films on the gate electrode, a pair of SiGe mixed crystal regions formed in the silicon substrate at respective outer sides of the sidewall insulation films epitaxially to the silicon substrate so as to be enclosed respectively by the source and drain regions, each of the SiGe mixed crystal regions being grown to a level above a level of a gate insulation film interface between the gate insulation film and the silicon substrate, wherein there is provided a compressive stress film at respective top surfaces of the SiGe mixed crystal regions.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: October 19, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Naoyoshi Tamura, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Masashi Shima
  • Patent number: 7808081
    Abstract: The present invention provides a semiconductor device and a method of forming thereof, in which a uniaxial strain is produced in the device channel of the semiconductor device. The uniaxial strain may be in tension or in compression and is in a direction parallel to the device channel. The uniaxial strain can be produced in a biaxially strained substrate surface by strain inducing liners, strain inducing wells or a combination thereof. The uniaxial strain may be produced in a relaxed substrate by the combination of strain inducing wells and a strain inducing liner. The present invention also provides a means for increasing biaxial strain with strain inducing isolation regions. The present invention further provides CMOS devices in which the device regions of the CMOS substrate may be independently processed to provide uniaxially strained semiconducting surfaces in compression or tension.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Qiqing Ouyang, Kern Rim
  • Patent number: 7800093
    Abstract: An integrated circuit including a memory cell includes a vertical bipolar select device including a base and an emitter. The memory cell includes a resistive memory element coupled to the emitter and a buried metallized word line contacting the base.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: September 21, 2010
    Assignee: Qimonda North America Corp.
    Inventors: Thomas Happ, Jan Boris Philipp
  • Patent number: 7781799
    Abstract: A semiconductor device and method of manufacture thereof wherein a PMOS source/drain region of a transistor within the substrate includes a first strained layer in the PMOS source/drain region and a first capping layer in contact with the first strained layer. Further, the semiconductor device and method provide for an NMOS source/drain region of a transistor within the substrate including a second strained layer in the NMOS source/drain region and a second capping layer in contact with the second strained layer.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 24, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Ling-Yen Yeh, Tze-Liang Lee
  • Patent number: 7777250
    Abstract: Lattice-mismatched materials having configurations that trap defects within sidewall-containing structures.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: August 17, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Anthony J. Lochtefeld
  • Patent number: 7759142
    Abstract: Embodiments described include straining transistor quantum well (QW) channel regions with metal source/drains, and conformal regrowth source/drains to impart a uni-axial strain in a MOS channel region. Removed portions of a channel layer may be filled with a junction material having a lattice spacing different than that of the channel material to causes a uni-axial strain in the channel, in addition to a bi-axial strain caused in the channel layer by a top barrier layer and a bottom buffer layer of the quantum well.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: July 20, 2010
    Assignee: Intel Corporation
    Inventors: Prashant Majhi, Mantu K. Hudait, Jack T. Kavalieros, Ravi Pillarisetty, Marko Radosavljevic, Gilbert Dewey, Titash Rakshit, Willman Tsai
  • Patent number: 7759208
    Abstract: Embodiments of the present invention provide a method that cools a substrate to a temperature below 10° C. and then implants ions into the substrate while the temperature of the substrate is below 10° C. The implanting causes damage to a first depth of the substrate to create an amorphized region in the substrate. The method forms a layer of metal on the substrate and heats the substrate until the metal reacts with the substrate and forms a silicide region within the amorphized region of the substrate. The depth of the silicide region is at least as deep as the first depth.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Asa Frye, Christian Lavoie, Ahmet S. Ozcan, Donald R. Wall
  • Patent number: 7755171
    Abstract: A transistor structure having a recessed source/drain and buried etch stop layer (e.g., a silicon germanium layer), and a related method, are disclosed. In one embodiment, the transistor structure includes a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain region, the stress liner imparting a stress to the source/drain region and a channel of the gate. The recessed source/drain allows recessing without contacting the P-N junction, and allows improved application of stress to the channel.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7718993
    Abstract: A method for producing predetermined shapes in a crystalline Si-containing material that have substantially uniform straight sides or edges and well-defined inside and outside corners is provided together with the structure that is formed utilizing the method of the present invention. The inventive method utilizes conventional photolithography and etching to transfer a pattern, i.e., shape, to a crystalline Si-containing material. Since conventional processing is used, the patterns have the inherent limitations of rounded corners. A selective etching process utilizing a solution of diluted ammonium hydroxide is used to eliminate the rounded corners providing a final shape that has substantially straight sides or edges and substantially rounded corners.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Kenneth T. Settlemyer, James J. Toomey, Haining Yang
  • Patent number: 7709317
    Abstract: A semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices is provided. In particular, the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction. The at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs. The at least one spacerless FET allows to provide a stress inducing liner in closer proximity to the device channel than prior art structures including FETs having spacers. The spacerless FET is achieved without negatively affecting the resistance of the corresponding silicided source/drain diffusion contacts, which do not encroach underneath the spacerless FET.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining S. Yang, Siddhartha Panda
  • Patent number: 7709930
    Abstract: Disclosed is a method of forming a semiconductor structure that includes a discontinuous non-planar sub-collector having a different polarity than the underlying substrate. In addition, this structure includes an active area (collector) above the sub-collector, a base above the active area, and an emitter above the base. The distance between the discontinuous portions of the discontinuous sub-collector tunes the performance characteristics of the semiconductor structure. The performance characteristics that are tunable include breakdown voltage, unity current gain cutoff frequency, unity power gain cutoff frequency, transit frequency, current density, capacitance range, noise injection, minority carrier injection and trigger and holding voltage.
    Type: Grant
    Filed: April 22, 2004
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Andreas Stricker, David Sheridan, Jae-Sung Rieh, Gregory Freeman, Steven Voldman, Stephen A. St. Onge
  • Patent number: 7700416
    Abstract: The process uses a sacrificial stressor layer to provide tensile strained surface regions for bulk silicon or silicon on insulator (SOI) substrates. The process deposits a sacrificial layer of silicon germanium on the surface of the substrate and then patterns the workpiece to form trenches extending through the silicon germanium stressor layer into the semiconductor substrate. The process fills the trenches with insulating materials and then removes the silicon germanium stressor layer, for example using wet etching, leaving a strained silicon or SOI substrate with a pattern of shallow trench isolation structures. The trench fill material is selected to stress the regions of silicon between the trenches to provide a tensile strained surface region to the semiconductor substrate. Such a strained semiconductor surface region can have improved mobility properties and so is advantageous for forming devices such as MOSFETs.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: April 20, 2010
    Assignee: Acorn Technologies, Inc.
    Inventors: Paul A. Clifton, Daniel J. Connelly, R. Stockton Gaines
  • Patent number: 7687356
    Abstract: A method of forming a silicon germanium conduction channel under a gate stack of a semiconductor device, the gate stack being formed on a silicon layer on an insulating layer, the method including growing a silicon germanium layer over said silicon layer and heating the device such that germanium condenses in the silicon layer such that a silicon germanium channel is formed between the gate stack and the insulating layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: March 30, 2010
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Philippe Coronel, Arnaud Pouydebasque
  • Patent number: 7687798
    Abstract: The present invention relates a method for epitaxial growth of a second group III-V crystal having a second lattice constant over a first group III-V crystal having a first lattice constant, wherein strain relaxation associated with lattice-mismatched epitaxy is suppressed and thus dislocation defects do not form. In the first step, the surface of the first group III-V crystal (substrate) is cleansed by desorption of surface oxides. In the second step, a layer of condensed group-V species is condensed on the surface of the first group III-V crystal. In the third step, a mono-layer of constituent group-III atoms is deposited over the layer of condensed group-V species in order for the layer of constituent group-III atoms to retain the condensed group-V layer. Subsequently, the mono-layer of group-III atoms is annealed at a higher temperature.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: March 30, 2010
    Assignee: HRL Laboratories, LLC
    Inventor: Binqiang Shi
  • Patent number: 7683362
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: March 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Hiroyuki Ohta, Takashi Sakuma, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7652288
    Abstract: A method and apparatus for depositing single crystal, epitaxial films of silicon carbon and silicon germanium carbon on a plurality of substrates in a hot wall, isothermal UHV-CVD system is described. In particular, a multiple wafer low temperature growth technique in the range from 350° C. to 750° C. is described for incorporating carbon epitaxially in Si and SiGe films with very abrupt and well defined junctions, but without any associated oxygen background contamination. Preferably, these epitaxial SiC and SiGeC films are in-situ doped p- or n-type and with the presence of low concentration of carbon <1020 cm?3, the as-grown p- or n-type dopant profile can withstand furnace anneals to temperatures of 850° C. and rapid thermal anneal temperatures to 1000° C.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: January 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jack O. Chu, Basanth Jaqannathan, Alfred Grill, Bernard S. Meyerson, John A. Ott
  • Patent number: 7629603
    Abstract: A method to form a strain-inducing semiconductor region comprising three or more species of charge-neutral lattice-forming atoms is described. In one embodiment, formation of a strain-inducing semiconductor region, comprising three or more species of charge-neutral lattice-forming atoms, laterally adjacent to a crystalline substrate results in a uniaxial strain imparted to the crystalline substrate. Thus, a strained crystalline substrate may be provided. In another embodiment, a semiconductor region with a crystalline lattice of three or more species of charge-neutral lattice-forming atoms imparts a strain to a crystalline substrate, wherein the lattice constant of the semiconductor region is different from that of the crystalline substrate.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: December 8, 2009
    Assignee: Intel Corporation
    Inventors: Chi On Chui, Prashant Majhi, Wilman Tsai, Jack T. Kavalieros
  • Patent number: 7626244
    Abstract: A structure and a method of making the structure. The structure includes a field effect transistor including: a first and a second source/drain formed in a silicon substrate, the first and second source/drains spaced apart and separated by a channel region in the substrate; a gate dielectric on a top surface of the substrate over the channel region; and an electrically conductive gate on a top surface of the gate dielectric; and a dielectric pillar of a first dielectric material over the gate; and a dielectric layer of a second dielectric material over the first and second source/drains, sidewalls of the dielectric pillar in direct physical contact with the dielectric layer, the dielectric pillar having no internal stress or an internal stress different from an internal stress of the dielectric layer.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: December 1, 2009
    Assignee: International Business Machines Corporation
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Patent number: 7626215
    Abstract: A first p-type SiGe mixed crystal layer is formed by an epitaxial growth method in a trench, and a second p-type SiGe mixed crystal layer is formed. On the second SiGe mixed crystal layer, a third p-type SiGe mixed crystal layer is formed. The height of an uppermost surface of the first SiGe mixed crystal layer from the bottom of the trench is lower than the depth of the trench with the surface of the silicon substrate being the standard. The height of an uppermost surface of the second SiGe mixed crystal layer from the bottom of the trench is higher than the depth of the trench with the surface of the silicon substrate being the standard. Ge concentrations in the first and third SiGe mixed crystal layers are lower than a Ge concentration in the second SiGe mixed crystal layer.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: December 1, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yosuke Shimamune, Masahiro Fukuda, Young Suk Kim, Akira Katakami, Akiyoshi Hatada, Naoyoshi Tamura, Hiroyuki Ohta
  • Patent number: 7615455
    Abstract: A bipolar transistor having a base region resting by its lower surface on a collector region and surrounded with a first insulating layer, a base contact conductive region in contact with an external upper peripheral region of the base region, a second insulating region in contact with an intermediary upper peripheral region of the base region, an emitter region in contact with the central portion of the base region. The level of the central portion is higher than the level of the intermediary portion.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: November 10, 2009
    Assignee: STMicroelectronics S.A.
    Inventors: Pascal Chevalier, Alain Chantre
  • Patent number: 7612364
    Abstract: A semiconductor structure includes a semiconductor substrate; a gate stack on the semiconductor substrate; a stressor having at least a portion in the semiconductor substrate and adjacent to the gate stack, wherein the stressor comprises an impurity of a first conductivity type; and a portion of the semiconductor substrate adjoining the stressor and on an opposite side of the stressor from the gate stack, wherein the portion of the semiconductor substrate is doped with an impurity of the first conductivity type.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: November 3, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Yuan-Chen Sun
  • Patent number: 7595499
    Abstract: A method for forming a strained layer of semiconductor material, e.g., silicon, germanium, Group III/V, silicon germanium alloy. The method includes providing a non-deformable surface region having a first predetermined radius of curvature, which is defined by R(1) and is defined normal to the surface region. The method includes providing a first substrate (e.g., silicon wafer) having a first thickness. Preferably, the first substrate has a face, a backside, and a cleave plane defined within the first thickness. The method includes a step of overlying the backside of the first substrate on a portion of the surface region having the predetermined radius of curvature to cause a first bend within the thickness of material to form a first strain within a portion of the first thickness. The method provides a second substrate having a second thickness, which has a face and a backside.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: September 29, 2009
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Philip James Ong, Igor J. Malik, Harry R. Kirk
  • Patent number: 7586156
    Abstract: A wide bandgap device in parallel with a device having a lower avalanche breakdown voltage and a higher forward voltage drop than the wide bandgap device.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: September 8, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Joseph A. Yedinak, Richard L. Woodin, Christopher Lawrence Rexer, Praveen Muraleedharan Shenoy, Kwanghoon Oh, Chongman Yun
  • Patent number: 7579617
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: August 25, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yosuke Shimamune, Hiroyuki Ohta, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7569848
    Abstract: Compressive or tensile materials are selectively introduced beneath and in alignment with spacer areas and adjacent to channel areas of a semiconductor substrate to enhance or degrade electron and hole mobility in CMOS circuits. A process entails steps of creating dummy spacers, forming a dielectric mandrel (i.e., mask), removing the dummy spacers, etching recesses into the underlying semiconductor substrate, introducing a compressive or tensile material into a portion of each recess, and filling the remainder of each recess with substrate material.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Bruce B. Doris, Oleg G. Gluschenkov
  • Patent number: 7569869
    Abstract: A transistor structure and a system including the transistor structure. The transistor structure comprises: a substrate including a first layer comprising a first crystalline material; a tensile strained channel formed on a surface of the first layer and comprising a second crystalline material having a lattice spacing that is smaller than a lattice spacing of the first crystalline material; a metal gate on the substrate; a pair of sidewall spacers on opposite sides of the metal gate; and a source region and a drain region on opposite sides of the metal gate adjacent a corresponding one of the sidewall spacers.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: August 4, 2009
    Assignee: Intel Corporation
    Inventors: Been-Yih Jin, Robert S. Chau, Suman Datta, Jack T. Kavalieros, Marko Radosavlievic
  • Publication number: 20090166675
    Abstract: This disclosure relates to strain engineering to improve the performance of semiconductor components that include a strained region of the semiconductor substrate. The disclosure involves the amorphization of the target region and the recrystallization of the atomic lattice whilst imposing a strain on the region. The region so formed will form a strained lattice, wherein the strain is uniformly distributed throughout the region, and which retains the intrinsic strain even if the source of the mechanical strain is removed. The disclosure includes methods for forming semiconductor substrates having strained regions (such as semiconductor components having a strained channel region) and semiconductor components formed thereby, as well as variations having various properties and advantages.
    Type: Application
    Filed: December 30, 2008
    Publication date: July 2, 2009
    Applicant: Texas Instruments Incorporated
    Inventor: Mahalingam Nandakumar
  • Patent number: 7554110
    Abstract: A semiconductor structure includes a semiconductor substrate having a first lattice constant; a gate dielectric on the semiconductor substrate; a gate electrode on the semiconductor substrate; and a stressor having at least a portion in the semiconductor substrate and adjacent the gate electrode. The stressor has a tilted sidewall on a side adjacent the gate electrode. The stressor includes a first stressor layer having a second lattice constant substantially different from the first lattice constant; and a second stressor layer on the first stressor layer, wherein the second stressor has a third lattice constant substantially different from the first and the second lattice constants.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: June 30, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Mong-Song Liang, Tze-Liang Lee, Jr-Hung Li
  • Patent number: 7544976
    Abstract: A semiconductor heterostructure that includes a support substrate with a first in-plane lattice parameter, a buffer structure formed on the support substrate and having on top in a relaxed state a second in-plane lattice parameter, and a multi-layer stack of ungraded layers formed on the buffer structure. This semiconductor hetero-structure possess a lower surface roughness than other heterostructures. In the heterostructure, the ungraded layers are strained layers that comprise at least one strained smoothing layer of a semiconductor material having in a relaxed state a third in-plane lattice parameter which has a value between the first and the second lattice parameter.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: June 9, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Cécile Aulnette, Christophe Figuet
  • Patent number: 7544585
    Abstract: Provided is a strained SOI structure and a method of manufacturing the strained SOI structure. The strained SOI structure includes an insulating substrate, a SiO2 layer formed on the insulating substrate, and a strained silicon layer formed on the SiO2 layer.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: June 9, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-soo Park, Wenxu Xianyu, Takashi Noguchi
  • Patent number: 7534701
    Abstract: A process for preparing a semiconductor wafer with a strained layer having an elevated critical thickness.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: May 19, 2009
    Assignee: S.O.I. Tec Silicon on Insulator Technologies
    Inventors: Bruno Ghyselen, Daniel Bensahel, Thomas Skotnicki
  • Publication number: 20090095982
    Abstract: A semiconductor device to which a stress technique is applied and in which a leakage current caused by silicidation can be suppressed. A gate electrode is formed over an element region defined by an isolation region formed in a semiconductor substrate with a gate insulating film between. Extension regions and source/drain regions are formed in the element region on both sides of the gate electrode. In addition, a semiconductor layer which differs from the semiconductor substrate in lattice constant is formed apart from at least part of the isolation region. By doing so, the formation of a spike near the isolation region is suppressed even if a silicide layer is formed. Accordingly, a leakage current caused by such a spike can be suppressed.
    Type: Application
    Filed: September 5, 2008
    Publication date: April 16, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Young Suk KIM
  • Patent number: 7508053
    Abstract: A method of manufacturing a metal-oxide-semiconductor (MOS) transistor device is disclosed. A gate dielectric layer is formed on an active area of a substrate. A gate electrode is patterned on the gate dielectric layer. The gate electrode has vertical sidewalls and a top surface. A liner is formed on the vertical sidewalls of the gate electrode. A nitride spacer is formed on the liner. An ion implanted is performed to form a source/drain region. After salicide process, an STI region that isolates the active area is recessed, thereby forming a step height at interface between the active area and the STI region. The nitride spacer is removed. A nitride cap layer that borders the liner is deposited. The nitride cap layer has a specific stress status.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: March 24, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Wen-Han Hung, Tzyy-Ming Cheng, Tzer-Min Shen, Yi-Chung Sheng
  • Patent number: 7507989
    Abstract: A semiconductor structure for use as a template for forming high-performance metal oxide semiconductor field effect transistor (MOSFET) devices is provided. More specifically, the present invention provides a structure that includes a SiGe-on-insulator substrate including a tensile-strained SiGe alloy layer located atop an insulating layer; and a strained Si layer atop the tensile-strained SiGe alloy layer. The present invention also provides a method of forming the tensile-strained SGOI substrate as well as the heterostructure described above. The method of the present invention decouples the preference for high strain in the strained Si layer and the Ge content in the underlying layer by providing a tensile-strained SiGe alloy layer directly atop on an insulating layer.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: March 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Jack O. Chu, Kern Rim, Leathen Shi
  • Patent number: 7504704
    Abstract: A structure including a transistor and a trench structure, with the trench structure inducing only a portion of the strain in a channel region of the transistor.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: March 17, 2009
    Assignee: AmberWave Systems Corporation
    Inventors: Matthew T. Currie, Anthony J. Lochtefeld