Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 11937376
    Abstract: A determination device determines a component feeder to be used from among the multiple component feeders attached to a feeder holding section. When a first specific type of component is supplied from a first specific component feeder, a second specific type of component supplied from a second specific component feeder are simultaneously picked up by a mounting head, and a component shortage occurs in the first specific component feeder, the determination device determines a same type component feeder attached to a position which enables simultaneous pickup with the second specific type of component supplied from the second specific component feeder, as a component feeder for supplying the first specific type of component.
    Type: Grant
    Filed: May 31, 2018
    Date of Patent: March 19, 2024
    Assignee: FUJI CORPORATION
    Inventors: Shigeto Oyama, Haruna Narita
  • Patent number: 10134578
    Abstract: According to an embodiment of the present disclosure, a substrate processing apparatus including a housing is provided. The housing having an internal atmosphere of a reduced oxygen concentration includes a box structure configured to accommodate a substrate holder which receives a plurality of substrates therein and including a first gap and a second gap. Further, the housing includes an inert gas pipe connected to the box structure, and configured to supply an inert gas to the box structure, a cover member mounted in the box structure, and a buffer space formed between an internal space of the box structure and the cover member.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: November 20, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Kiyohiko Gokon
  • Patent number: 9033647
    Abstract: A system for aligning an end effector with a substrate in a substrate transport apparatus. The system comprises a first sensor connected to the end effector and a controller for moving the substrate transport apparatus. The sensor has a sensing path pointed in an outward direction. The sensing path does not intersect the substrate when the substrate is located on the end effector. The controller for moving the substrate transport apparatus moves the substrate transport apparatus, based at least partially upon input from the sensor, to position the end effector at a predetermined position relative to the substrate to pick up the substrate onto the end effector.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: May 19, 2015
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Mark Saunders
  • Patent number: 9011075
    Abstract: A substrate processing method includes transferring unprocessed substrates to a first substrate holder by way of lowering a first substrate accommodation unit and loading the unprocessed substrates into a processing chamber in sequence while sequentially rotating a substrate mounting table at a preset angle in one direction, performing a preset process on substrates in a batch-type, and unloading processed substrates from the processing chamber by the first substrate holder after a completion of the preset process, transferring the processed substrates into the first substrate accommodation unit from the first substrate holder by way of raising the first substrate accommodation unit, transferring unprocessed substrates to a second substrate holder by way of lowering a second substrate accommodation unit and loading the unprocessed substrate into the processing chamber in sequence while sequentially rotating the substrate mounting table at the preset angle in the another direction.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: April 21, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiromitsu Sakaue, Takashi Horiuchi
  • Patent number: 9004838
    Abstract: An apparatus for characterizing a wafer comprising an aligner comprising a chuck for receiving and rotating the wafer, a sensor for detecting the position of the wafer as it is rotated, a first actuator for lowering and raising the wafer vertically, and a second actuator for moving the chuck horizontally; and a weighing scale comprising a weight sensor disposed proximate to the aligner, and a cantilevered arm extending laterally from the weight sensor over the chuck of the aligner, the cantilevered arm having a through hole surrounding the chuck. The chuck is vertically movable relative to the weighing scale from a first position in which the wafer is supported by the chuck to a second position in which the wafer is supported by the cantilevered arm of the weighing scale. A method for characterizing a wafer using the instant apparatus is also disclosed.
    Type: Grant
    Filed: March 31, 2012
    Date of Patent: April 14, 2015
    Assignee: Microtronic, Inc.
    Inventors: Reiner G. Fenske, David S. Denu
  • Patent number: 8992746
    Abstract: An apparatus for anodizing substrates immersed in an electrolyte solution. A substrate holder mounted in a storage tank includes a first support unit having first support elements for supporting, in a liquid-tight condition, only lower circumferential portions of the substrates, and a second support unit attachable to and detachable from the first support unit and having second support elements for supporting, in a liquid-tight condition, remaining circumferential portions of the substrates. A drive mechanism separates the first support unit and the second support unit when loading and unloading the substrates, and for connecting the first support unit and the second support unit after the substrates are placed in the substrate holder.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: March 31, 2015
    Assignees: Dainippon Screen Mfg. Co., Ltd., Solexel, Inc.
    Inventors: Yasuyoshi Miyaji, Noriyuki Hayashi, Takamitsu Inahara, Takao Yonehara, Karl-Josef Kramer, Subramanian Tamilmani
  • Patent number: 8985929
    Abstract: A substrate processing apparatus includes: a carrier block including first and second carrier placement units spaced apart in a right and left direction; a processing block having a layered structure in which a plurality of layered parts are vertically arranged, the layered parts each including a substrate transport mechanism for transporting a substrate and a processing module for processing a substrate; a tower unit including plural substrate placement units located at height positions where a substrate is transferred by the substrate transport mechanism of the layered part corresponding to the substrate placement unit; a first substrate transfer mechanism configured to transfer a substrate between the carrier on the first carrier placement unit and the substrate placement unit of the tower unit; and a second substrate transfer mechanism configured to transfer a substrate between the carrier on the second substrate placement unit and the substrate placement unit of the tower unit.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Suguru Enokida, Masahiro Nakaharada, Akira Miyata, Hidekazu Kiyama, Naruaki Iida
  • Patent number: 8989901
    Abstract: A transfer system includes a substrate positioning device, robots having a first robot and a second robot; and robot control devices, the robot control devices including a first robot control device to which the first robot and the substrate positioning device are connected and a second robot control device to which the second robot is connected. The first robot control device includes an acquiring unit configured to acquire, from the substrate positioning device, at least an absolute deviation amount between the rotation center of the mounting table and a center position of the substrate positioned, and a transmitting unit configured to transmit correction information relying on the absolute deviation amount acquired by the acquiring unit, to the second robot control device to which the second robot is connected.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: March 24, 2015
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Takashi Minami, Shinichi Katsuda
  • Patent number: 8979469
    Abstract: A heat treatment apparatus includes a vessel loading unit on which a substrate vessel configured to accommodate a plurality of substrates at a first interval is loaded, a substrate holder configured to hold the plurality of substrates at a second interval which is smaller than the first interval, a substrate transfer unit capable of supporting the substrates and configured to transfer the plurality of substrates between the substrate holder and the substrate vessel and includes at least two substrate supporting parts stacked with the first interval therebetween and configured to be simultaneously advanced and retreated relative to the substrate vessel and individually advanced and retreated relative to the substrate holder, and a control unit configured to control an upper one of the at least two substrate supporting parts to be in an inoperative state when a lower one is supporting the substrate.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Kiichi Takahashi, Terumi Kamada, Ittetsu Oikawa
  • Patent number: 8965572
    Abstract: In recent years, frames have gotten larger in size and thinner, and warping of the frames has posed a problem. If a warp of a frame is large, there is a high possibility that fetching the frame may fail. If fetching the frame fails, that is, if the frame cannot be fetched, the lead time of mounting gets longer. Further, the frame that cannot be fetched has to be manually removed by an operator. Therefore, a man-hour increases. According to the present invention, before a loader feeder fetches a frame from a frame magazine, a loader lifter is moved in a Y direction. Thereafter, the loader feeder fetches the frame from the frame magazine.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: February 24, 2015
    Assignee: Hitachi High-Tech Instruments Co., Ltd.
    Inventors: Tatsuyuki Ohkubo, Mitsuo Yoda
  • Patent number: 8958907
    Abstract: A robot arm apparatus includes an arm mechanism including a base member and a link pivotally connected to the base member for pivotal motion in a horizontal plane through a rotational shaft. The link holds a regular circular transport object at its distal end. The apparatus also includes an edge detector, provided on the base member, that detects two edges of the regular circular transport object as the link pivotally rotates with respect to the base member, a pivotal angle detector that detects a pivotal angle of the link with respect to the base member, and a center position calculator that calculates a center position of the regular circular transport object with respect to the link. The calculation is based on two pivotal angles detected by the pivotal angle detector when the edge detector detects the two edges of the regular circular transport object.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: February 17, 2015
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Toru Saeki, Yasumichi Mieno, Yuji Urabe, Toshio Kamigaki, Yoji Masui
  • Patent number: 8944738
    Abstract: Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 3, 2015
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8905700
    Abstract: An inspection apparatus includes an inspection chamber in which low-temperature inspection of an inspection object is performed; and a transfer chamber including therein a transfer device. The transfer device includes a transfer arm for transferring the inspection object to the inspection chamber; an arm-receiving compartment having a gateway via which the transfer arm is moved into or out of the arm-receiving compartment; and a gas supply means having a first and a second supply part for supplying a low-dew-point gas to the arm-receiving compartment from different locations. Herein, the first supply part is configured to supply the low-dew-point gas toward the inspection object introduced into the arm-receiving compartment by the transfer arm.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 8870512
    Abstract: An electronic device manufacturing system is disclosed. The system includes a processing tool having one or more processing chambers each adapted to perform an electronic device manufacturing process on one or more substrates; a substrate carrier adapted to couple to the system and carry one or more substrates; and a component adapted to create a sealed environment relative to at least a portion of the substrate carrier and to substantially equalize the sealed environment with an environment within the substrate carrier. Methods of the invention are described as are numerous other aspects.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 28, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Michael Robert Rice, Jeffrey C. Hudgens
  • Patent number: 8813338
    Abstract: An alignment device has a carriage, two rails on the carriage that are configured for a workpiece to pass therebetween, and a finger that protrudes a distance from the carriage. The finger is configured to be disposed on a carrier for the workpieces. The workpieces may be solar cells and may pass through the rails on a conveyor belt. The alignment device may move in order to align the workpieces as the workpieces are loaded into a carrier.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: August 26, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Malcolm N. Daniel, Charles T. Carlson, William T. Weaver
  • Patent number: 8814489
    Abstract: A substrate processing system includes a processing chamber that performs a preset process on a plurality of substrates in a batch-type manner; a substrate mounting table, installed within the processing chamber, configured to mount the plurality of substrates on a concentric circle and configured to be rotatable forward and backward; substrate accommodation units configured to accommodate the plurality of substrates in multi-stages in a vertical direction; substrate holders and configured to transfer the substrates between the substrate accommodation units and the processing chamber; elevating mechanisms configured to move the substrate accommodation units up and down. Unprocessed substrates are mounted on the substrate mounting table while the substrate mounting table is being rotated in one direction.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hiromitsu Sakaue, Takashi Horiuchi
  • Patent number: 8807616
    Abstract: A robot hand includes a mounting surface to which a work unit is mounted with some freedom of horizontal movement. A pair of anti-fall hooks is formed at a tip portion of the mounting surface to support a front edge portion of the work unit so as to prevent the work unit from falling off the mounting surface. A pair of support section is provided at a rear edge portion of the mounting surface to support a rear edge portion of the work unit. If, during placement of the work unit into a cassette, the work unit collides with the cassette, the work unit comes into contact with one of the support sections, and the work unit is rotated on the mounting surface about the contact point as a fulcrum so as to correct the misalignment of the work unit.
    Type: Grant
    Filed: June 13, 2013
    Date of Patent: August 19, 2014
    Assignee: Disco Corporation
    Inventor: Satoshi Yamanaka
  • Patent number: 8807905
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: August 19, 2014
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8776841
    Abstract: The present invention provides a method, system, and components for protecting reticles and specifically for minimizing haze formation on reticles during storage and use. By substantially continually maintaining a purge in a storage housing having a reduced humidity level on reticles or by temporarily storing the reticle in a container in proximity to a desiccant or getter when not being purged, haze formation can be eliminated, minimized, or sufficiently controlled. Moreover, a filter media in the container may be positioned to be “recharged” during the substantially continual purging of the reticle, a reduced desirable humidity level can be readily maintained in the reticle container when the container is not currently being purged. Additionally, the system of the invention can comprise an ionizer associated with the purge system. For example, the ionizer can be associated with at least one of the plurality of purge lines of the purge system.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: July 15, 2014
    Assignee: Entegris, Inc.
    Inventors: Oleg P. Kishkovich, Xavier Gabarre, William M. Goodwin, James Lo, Troy Scoggins
  • Patent number: 8777540
    Abstract: An apparatus for storing contamination-sensitive flat articles, in particular for storing semiconductor wafers, comprises a plurality of box-like compartments stationary arranged on a fixed mounting rack. The compartments are open on a front side and are arranged in rows and columns side by side and one above the other on the fixed mounting rack. The compartments each have a plurality of slotted holders for receiving the flat articles, and they surround a first handling unit configured for automatically inserting and removing the flat articles into and out of the slotted holders. A closed housing forms a clean room where both the plurality of compartments and the first handling unit are arranged.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: July 15, 2014
    Assignee: Dynamic Microsystems Semiconductor Equipment GmbH
    Inventors: Lutz Rebstock, Michael Meichsner
  • Patent number: 8731701
    Abstract: After a cassette is mounted on a cassette mounting part, a control unit instructs a substrate treatment apparatus to start treatment on substrates in the cassette. Thereafter, the control unit indicates, to the substrate treatment apparatus, a cassette on the cassette mounting part to which a substrate is transferred at completion of the treatment. If the transfer destination cassette for the substrate at the completion of treatment has not been indicated when a number of remaining treatment steps for the substrate reaches a predetermined set number, an alarm is given from the substrate treatment apparatus. This alarm is sent from the substrate treatment apparatus to the control unit, and the control unit indicates a transfer destination cassette for the substrate.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: May 20, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Wataru Tsukinoki, Yuichi Yamamoto
  • Patent number: 8701862
    Abstract: A disk transportation device includes a pair of rotation arms that are rotatable respectively about a fulcrum shaft extending in the same direction as the axial direction of a center shaft of a disk-shaped recording medium in both sides thereof that pinch the transportation passage of the disk-shaped recording medium transported between a disk changer and a disk drive device; and four transportation rollers of which two at a time are rotatably supported on the pair of rotation arms respectively, wherein the four transportation rollers contact the outer peripheral surface of the disk-shaped recording medium respectively and the pair of rotation arms are rotated in opposite directions to each other in a state where one of the transportation rollers at a time is supported at the pair of the rotation arms respectively contact the outer peripheral surface of the disk-shaped recording medium.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: April 22, 2014
    Assignee: Sony Corporation
    Inventors: Takeharu Takasawa, Takeshi Kubo, Naofumi Goto, Akira Suzuki
  • Patent number: 8673166
    Abstract: In a plasma processing apparatus, thrust-up pins are elevated and a thrust-up force is detected when electrostatic attraction for a substrate by a substrate holding device is ceased after completion of plasma processing, the elevation of the thrust-up pins is ceased upon detection of a detection threshold, and a stepped elevating operation in which the elevation and stoppage of the thrust-up pins are repeated a plurality of times are thereafter commenced on condition that the detected thrust-up force falls to or below the detection threshold and that release of the substrate from a placement surface has not been completed. In the stepped elevating operation, operation timing of the thrust-up device is controlled so that the completion of the release of the substrate from the placement surface is detected when the thrust-up pins are stopped after being elevated and so that the stepped elevating operation is continued on condition that the release has not been completed.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: March 18, 2014
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Toshihiro Wada, Mitsuhiro Okune, Mitsuru Hiroshima
  • Patent number: 8636882
    Abstract: Disclosed is a producing method of a semiconductor device, comprising: loading a substrate into a reaction furnace; forming a film on the substrate in the reaction furnace; unloading the substrate from the reaction furnace after the film has been formed; and forcibly cooling an interior of the reaction furnace in a state where the substrate does not exist in the reaction furnace after the substrate has been unloaded.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: January 28, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kenichi Suzaki, Jie Wang
  • Patent number: 8601975
    Abstract: In a first aspect, a loadport is provided. The loadport has a plate adapted to couple to a door of a substrate carrier to open the substrate carrier wherein the plate includes a first opening adapted to couple to a first port in the door of the substrate carrier on a first side of the plate and to couple to a gas source on a second side of the plate, and wherein the loadport is adapted to allow a flow of gas into the substrate carrier via the first opening in the plate. Methods of purging substrate carriers are provided, as are numerous other aspects.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: December 10, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Vinay K. Shah, Eric Englhardt, Jeffrey C. Hudgens, Martin R. Elliott
  • Patent number: 8602716
    Abstract: A method is provided where the method includes configuring a plurality of robots so that a wafer can be handed off between neighboring robots, and disposing a plurality of sensors so that a robotic arm-relative position of a wafer that is transported by a robot is determined from sensor outputs by moving the wafer through a retract, rotate, and extend path.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: December 10, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8596312
    Abstract: Disclosed herein is an apparatus including: a table configured to receive a container that stores an object therein, the container including a bottom surface provided with a positioning groove and including a charging inlet through which a gas is charged into the container; a positioning pin projecting from the table and adapted to engage with the positioning groove of the container; a nozzle configured to charge the gas into the container through the charging inlet; and a drive unit configured to move the nozzle into contact with the charging inlet of the container after the positioning pin is engaged with the positioning groove.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: December 3, 2013
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Mitsuo Natsume, Mitsutoshi Ochiai, Takumi Mizokawa
  • Patent number: 8560108
    Abstract: Even when a module constituting a multi-module becomes an unavailable module, transfer of substrates can be promptly performed, while restricting generation of inferior products. When a destination module of a multi-module becomes unavailable before a substrate is transferred to the destination module, a destination of the substrate is changed to a module to which a substrate subsequent to the substrate is to be loaded. Upon generation of an unavailable module, before the transfer unit accesses the module on an upstream end of the transfer cycle, the transfer cycle proceeds until a precedent substrate becomes ready to be unloaded from the changed destination module. Alternatively, upon generation of an unavailable module, when the transfer unit is located on an upstream side of the unavailable module in the transfer cycle, the transfer operation of the transfer unit is made standby until a precedent substrate becomes ready to be unloaded in the changed destination module.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenichirou Matsuyama, Takeshi Matsumoto
  • Patent number: 8545160
    Abstract: A substrate transfer apparatus that transfers a substrate with respect to a processing apparatus includes a substrate accommodation unit for accommodating a plurality of substrates to be loaded into the processing apparatus in a vertical direction in a multi-stage; a substrate accommodation unit for accommodating a plurality of substrates unloaded from the processing apparatus in a vertical direction in a multi-stage; a substrate holder for transferring the substrates from the substrate accommodation unit to the processing apparatus; a substrate holder for transferring the substrates from the processing apparatus to the substrate accommodation unit. The substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other and the substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: October 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiromitsu Sakaue, Hirofumi Yamaguchi
  • Patent number: 8540473
    Abstract: A load port including a frame having an opening, a vessel receiving table that receives the wafer vessel, a door removably attached to the opening, a door opening and closing mechanism that opens and closes the door, and a closing mechanism that exerts force on the door when the door opening and closing mechanism closes the door.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: September 24, 2013
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Fuminori Asa, Yasushi Taniyama
  • Patent number: 8414242
    Abstract: A processing apparatus including: a carry-in area into which a container containing substrates to be processed is carried, the container having a flange part on an upper part thereof and an opening in a front surface thereof, with a lid being detachably fixed to the opening; a transfer area whose atmosphere is maintained differently from an atmosphere of the carry-in area; a partition wall separating the carry-in area and transfer area; a through-hole formed in the partition wall; a door configured to open and close the through-hole; and a table on which the container can be placed in the carry-in area. After the container has been placed and then held on the table, the container is brought into contact with the through-hole, the door and the lid are opened, and the substrates to be processed in the container are conveyed to the transfer area so as to process the substrates.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: April 9, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Katsuyuki Hishiya
  • Patent number: 8408158
    Abstract: A coating/developing device includes a processing block having a plurality of coating unit blocks stacked and a developing unit block stacked on the coating unit blocks. Each of the unit blocks is provided with a liquid processing unit for coating a liquid chemical on a substrate, a heating unit for heating the substrate, a cooling unit for cooling the substrate and a transfer unit for transferring the substrate between the units. The liquid processing unit is provided with a coating unit for coating a resist liquid on the substrate, a first bottom antireflection coating (BARC) forming unit for coating a liquid chemical for a BARC on the substrate before the resist liquid is coated thereon, and a second BARC forming unit for coating a liquid chemical for the BARC on the substrate after the resist liquid is coated thereon.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Yasushi Hayashida, Nobuaki Matsuoka, Yoshio Kimura, Issei Ueda, Hikaru Ito
  • Patent number: 8412368
    Abstract: A method includes generating a reticle transport job using a computing device. The reticle job identifies a selected reticle. A reticle pod available for transporting the reticle is autonomously identified using the computing device. The reticle transport job is updated suing the computing device with an identifier of the reticle pod.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: April 2, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Diwaskar Adhikari, Raymond G. Goss
  • Patent number: 8393845
    Abstract: A plurality of process modules for conducting processes on a wafer; conveying modules for conveying the wafer, a turnout module for transferring the wafer to/from the conveying module, and a CPU for detecting a trouble occurring in the process module and centrally controlling each of the modules based on a detection signal. When the controller detects the trouble occurring in any one of the process modules, the wafer to be conveyed to the process module where the trouble occurs is conveyed to the turnout module, and conveyance of the wafer before the process module where the trouble occurs is temporarily stopped, and conveyance and processing of the other wafer are continued, and thereafter, conveyance and processing of the wafer before the process module where the trouble occurs are conducted.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: March 12, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tomohiro Kaneko, Yoshitaka Hara, Akira Miyata
  • Patent number: 8371795
    Abstract: A workpiece transfer system may include a plurality of cassettes for storing workpieces, at least one workpiece processing apparatus, and a multi-joint industrial robot for loading and unloading workpieces to/from the cassettes. The multi-joint industrial robot may include a hand part for holding a workpiece, an arm part supporting the hand part so as to make the hand part rotatable, and a link mechanism that supports an arm joint part, positioned at a base side of the arm part, so as to make the arm joint part rotatable.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: February 12, 2013
    Assignee: Nidec Sankyo Corporation
    Inventors: Yasuyuki Kitahara, Shigeyuki Kaino
  • Patent number: 8352074
    Abstract: A path planning apparatus and method of a robot, in which a path, along which the robot accesses an object to grasp the object, is planned. The path planning method includes judging whether or not a robot hand of a robot collides with an obstacle when the robot hand moves along one access path candidate selected from plural access path candidates along which the robot hand accesses an object to grasp the object, calculating an access score of the selected access path candidate when the robot hand does not collide with the obstacle, and determining an access path plan using the access score of the selected access path candidate.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: January 8, 2013
    Assignee: Samsung Electronics
    Inventors: Guochunxu, Kyung Shik Roh, San Lim, Bok Man Lim, Myung Hee Kim
  • Patent number: 8335581
    Abstract: Preparation of a wafer processing or measuring tool for a job can be initiated prior to assigning a wafer carrier to deliver wafers to the tool. The automated process may include transfer of wafers from a container, such as a bare wafer stocker, or between two tools.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: December 18, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Raymond G. Goss, Diwas Adhikari
  • Patent number: 8328495
    Abstract: A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: December 11, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Patent number: 8303231
    Abstract: An apparatus for semiconductor wafer transfer comprises a first region for placement of a pod, a second region for placement of a cassette, an unloading mechanism, and a transferring mechanism for transferring wafers in the unloaded pod to the cassette horizontally. In an embodiment, the pod is unloaded by lifting the housing of the pod, and preferably the apparatus for movement of semiconductor wafers further comprises a carrying mechanism for moving the cassette toward the pod, so that the cassette can be closer to the pod for smoothing wafer transfer.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Yeh-Hsin Yu, Jian-Hung Chen, Chia Ho Chuang, Hsueh Cheng Wu
  • Patent number: 8277163
    Abstract: A substrate transfer apparatus includes forks that are vertically spaced apart from each other with a predetermined distance. When the forks take out the substrates from the first substrate containing part, each of the forks lifts the substrate and supports the same by moving upward from a pre-loading position located below the substrate to be taken out by a predetermined unloading stroke amount. A value of the predetermined distance is set to be equal to the sum of the distance between the substrates contained in the first substrate containing part and the unloading stroke amount.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: October 2, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Akira Murata, Suguru Enokida, Yuichi Douki
  • Patent number: 8267633
    Abstract: A FOUP opening/closing device includes a housing containing a mounting table for mounting the FOUP thereon, an FOUP loading opening, and a delivery opening. The device further includes a rotator for rotating the mounting table, a door opening/closing unit to open or close the door of the FOUP and keep the door open, a mover for moving the FOUP and the door opening/closing unit in a reciprocating manner, to allow the FOUP and the door opening/closing unit to be connected to or separated from each other, and a controller to output control signals for moving the FOUP and the door opening/closing unit via operation of the mover to mount the door of the FOUP to the door opening/closing unit, separating the door from the FOUP, moving the FOUP and the door opening/closing unit away from each other, and rotating the mounting table to make the FOUP face the delivery opening.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 8267632
    Abstract: A system for processing semiconductor wafers including a plurality of robotic facilities serially joined to each other to form a substantially linear transport chamber through which wafers can be transferred from each facility, wherein the substantially linear transport chamber is sealed to hold a controlled atmosphere and each of the plurality of robotic facilities includes at least one scara robot having three arm links serially coupled to one another and having a substrate holder rotatably coupled at a distal end of the three arm links, the plurality of robot facilities being configured to effect the transfer of wafers through the substantially linear transport chamber via handoff a wafer between neighboring scara robots, and a multi-entry process module coupled to at least one of the plurality of robotic facilities, where each entry of the multi-entry process module is accessed by the at least one of the at least one scara robot.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: September 18, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8215890
    Abstract: A method and system for aligning robotic wafer transfer systems provides a wafer cassette having one or more wafer slots having portions covered with an electrically conductive material and a sensor that is in electrical communication with the electrically conductive material. When a wafer is loaded into a wafer cassette such as may be contained within a wafer transfer module such as a FOUP, an indication of position is delivered to the sensor which detects the alignment and indicates if the loaded wafer undesirably contacts either or both of the opposed grooves that form the wafer slot of the wafer cassette. An indication of the wafer's position may be provided from the sensor to a controller that delivers a signal for aligning the wafer transfer blade of the wafer transfer robot responsive to the signal indicative of position.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Shu Tseng, Yi-Chang Sung, Chia-Chi Tsao, Chih-Che Lin
  • Patent number: 8210789
    Abstract: An assembly method of assembling a substrate transfer device including: a transfer system unit forming step of fixing a robot and a substrate container retainer to a divided body which composes a part of the substrate transfer device and is formed separably on a main structural body as a residual part of the substrate transfer device, thereby forming a transfer system unit; an operation examination step of examining whether the robot fixed to the transfer system unit can operate as a part of the substrate transfer device or not; and a mounting step of mounting the transfer system unit on the main structural body of the substrate transfer device after the operation examination step.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: July 3, 2012
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Yasuhiko Hashimoto
  • Patent number: 8157496
    Abstract: A substrate processing apparatus includes a cassette placing table; a first transfer mechanism having a first substrate holding hand capable of advancing/retreating and using this first substrate holding hand to transfer a substrate with respect to the cassette; a substrate processing unit for executing a predetermined process on a substrate; a second transfer mechanism having a second substrate holding hand capable of advancing/retreating and pivotable at a vertical axis, and using the second substrate holding hand to transfer a substrate with respect to the first transfer mechanism and the substrate processing unit; a moving mechanism for moving the first transfer mechanism in an arrangement direction of the cassettes; and a control unit for controlling transfer of a substrate between the first transfer mechanism and the second transfer mechanism in a position where the first transfer mechanism is opposed to a cassette.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: April 17, 2012
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Eiji Okuno, Takashi Hara
  • Patent number: 8147924
    Abstract: An apparatus for manufacturing a magnetic recording disk includes a magnetic-film deposition chamber in which a magnetic film for a recording layer is deposited on a substrate; a lubricant-layer preparation chamber in which a lubricant layer is prepared on the substrate in vacuum; and a cleaning chamber in which the substrate is cleaned in vacuum after the magnetic-film deposition in the magnetic-film chamber and before the lubricant-layer preparation in the lubricant-layer chamber. The apparatus may further include a transfer system that transfers the substrate from the cleaning chamber to the lubricant-layer preparation chamber without exposing the substrate to the atmosphere.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: April 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Nobuyoshi Watanabe, Kazunori Tani, Shinji Furukawa, Hiromi Sasaki, Osamu Watabe
  • Patent number: 8147241
    Abstract: Disclosed herein is method for use in a vertical heat treatment system which has an opening which is formed in a partition wall separating a housing-box transfer area from a treating-object transfer area (a wafer transfer area), and and through which an object to be treated is carried in the vertical heat treatment system to carry out a predetermined treatment. When the object to be treated is carried in via the opening of the partition wall, which separates the housing-box transfer area from the treating-object transfer area (wafer transfer area), to carry out a predetermined treatment, the structure of various mechanisms in the vicinity of the opening is simplified, and the space is saved.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: April 3, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shinya Mochizuki, Motoki Akimoto
  • Patent number: 8118640
    Abstract: A wafer transferring apparatus includes a top ring for holding a wafer on a lower end surface thereof and a pusher mechanism for transferring the wafer to and from the top ring. The pusher mechanism has a wafer rest for placing the wafer thereon and is arranged to allow the wafer released from the lower end surface of the top ring to be seated on the wafer rest. The pusher mechanism also has a sensor mechanism for detecting when the wafer is properly seated on the wafer rest. The sensor mechanism is adapted to block sensor light emitted from a light-emitting device by the wafer seated on the wafer rest.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: February 21, 2012
    Assignee: Ebara Corporation
    Inventors: Nobuyuki Takahashi, Tadakazu Sone, Takuji Kobayashi, Hiroomi Torii
  • Patent number: 8099190
    Abstract: A method and an apparatus for transferring a substantially flat and substantially circular objects, such as wafers, from a pick-up position to a delivery position, the apparatus comprising, a manipulator, at least one source for emitting a source signal, at least one sensor for sensing said source signal and for providing a sensor signal, a computing device arranged for processing at least one sensor signal to obtain data on the position of said object, the manipulator being arranged for simultaneously transferring a first and a second object along a path in a substantially parallel orientation, spaced apart from each other, and substantially co-axially whereby the central axis of each object may be displaced radially, a said source and a said sensor are connected by a virtual line, whereby the virtual line includes an angle with the central axes of the first and second objects.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: January 17, 2012
    Assignee: ASM International N.V.
    Inventors: Christianus Gerardus Maria De Ridder, Theodorus Gerardus Maria Oosterlaken
  • Patent number: 8079797
    Abstract: A substrate processing system includes a control section configured to control a series of transfer operations and preset to control operation of a container transfer apparatus, operation at a substrate access area, and operation of a substrate handling apparatus independently of each other. The control section includes a schedule creating portion configured to create a transfer schedule by individually adjusting operation timing of the container transfer apparatus, operation timing at the substrate access area, and operation timing of the substrate handling apparatus such that, in a state while a first lot of substrates are treated in the processing system, but the container transfer apparatus and the substrate access area are unoccupied, a container with a second lot of unprocessed substrates stored therein is transferred onto the substrate access area, thereby minimizing total transfer time.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: December 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Osamu Tanaka, Takafumi Tsuchiya, Tohru Iwabae