Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 6461085
    Abstract: A sputter pallet loading and unloading device includes in one embodiment a spindle that rotates the pallet while an arm is engaged with the spring in the pallet to open and close the spring. In another embodiment, the spindle is located adjacent an air track that moves the disk substrate over a cushion of air to the loading area of the pallet. The air pressure under the disk substrate is increased to raise the disk substrate into the loading area. Once raised into the loading area, the spring is closed, e.g., by rotating the pallet in the opposite direction. The pallet and air-track are at a small angle to allow the substrate to slide into the correct position. The simplicity of operation leads to a reduced cost and a higher throughput for this device compared to a robotic loader.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: October 8, 2002
    Assignee: Toda Citron Technologies, Inc.
    Inventors: Johann F. Adam, Evan F. Cromwell
  • Patent number: 6461437
    Abstract: An apparatus for manufacturing a liquid crystal display device that can prevent chemical contamination attributed to contacting an external atmosphere, and a method of manufacturing the liquid crystal display device. The apparatus includes a cleaning chamber, a film deposition chamber for depositing a film on a layer cleaned in the cleaning chamber, and a transporter for transporting a substrate from the cleaning chamber to the film deposition chamber while preventing the substrate from being exposed to the external atmosphere.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: October 8, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Seiko Epson Corporation
    Inventors: Takeshi Kubota, Norikazu Komatsu
  • Patent number: 6461094
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by means of an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: October 8, 2002
    Assignee: Jenoptik AG
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6450750
    Abstract: A semiconductor processing system having a holding chamber coupled to a mainframe processing system and at least one loadlock chamber coupled to the holding chamber in which unprocessed wafers are transferred from the loadlock chamber to the holding chamber for subsequent processing by the mainframe system. In one embodiment, the holding chamber has a transfer robot which holds a stack of wafers for subsequent transfer to the processing chambers of the mainframe processing system.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: September 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Roger V. Heyder, Thomas B. Brezocsky, Robert E. Davenport
  • Patent number: 6450755
    Abstract: A substrate handling robot includes an arm drive mechanism. A first arm is connected to the arm drive mechanism. A multiple substrate batch loader is connected to the first arm. A second arm is also connected to the arm drive mechanism. A single plane end effector is connected to the second arm. The multiple substrate batch loader produces a vacuum signal indicative of how many substrates are held by the multiple substrate batch loader. A vacuum signal interpreter alters the movement of the first arm in response to the substrate load number. An object sensor is connected to the second arm. The object sensor assesses the number of substrates in a cassette adjacent to the multiple substrate batch loader. A substrate loading sequence controller controls the first arm and the second arm in response to the number of substrates in the cassette, such that the second arm removes substrates from the cassette in such a manner as to facilitate complete loading of the multiple substrate batch loader.
    Type: Grant
    Filed: July 10, 1998
    Date of Patent: September 17, 2002
    Assignee: Equipe Technologies
    Inventors: James A. Cameron, Steven G. Reyling
  • Patent number: 6449520
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: September 10, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6449522
    Abstract: Systems and methods for managing automated material handling systems, such as semiconductor fabrication facilities, using material item (e.g., wafer lot) attributes and cassette attributes are provided. A semiconductor fabrication facility typically includes multiple wafer lots and multiple cassettes for storing the wafer lots. A system and method, in one embodiment of the invention, includes setting one or more lot attributes for each wafer lot, setting one or more cassette attributes for each cassette, and selecting a particular cassette for holding a particular wafer lot based on the one or more wafer lot attributes of the particular wafer lot and the one or more cassette attributes of the particular cassette. The wafer lot and cassette attributes may, for example, include an attribute identifying a position in a fabrication sequence and one or more attributes indicative of one or more contaminants.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: September 10, 2002
    Assignee: Micro Devices, Inc.
    Inventors: Michael R. Conboy, Patrick J. Ryan, Elfido Coss, Jr.
  • Patent number: 6439822
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: August 27, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Patent number: 6440261
    Abstract: Apparatus for multi-chambered semiconductor wafer processing comprising a polygonal structure having at least two semiconductor process chambers disposed on one side. An area between the process chambers provides a maintenance access to the semiconductor processing equipment. Additionally, the apparatus may be clustered or daisy-chained together to enable a wafer to access additional processing chambers without leaving the controlled environment of the semiconductor wafer processing equipment.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: August 27, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Donald J. K. Olgado, Allen L. D'Ambra
  • Publication number: 20020114684
    Abstract: A load port of a semiconductor manufacturing apparatus includes a plurality of kinematic coupling pins and a plurality of sensors integrated with the pins. The contacts of the sensors have upper portions that protrude from the pins. Thus, when a cassette is place on the load port, the sensors can reliably sense whether the cassette is resting properly and/or can determine whether the cassette contains wafers. Once such determinations are made in the positive, a command signal is issued that serves to load the wafers into a chamber of the manufacturing apparatus.
    Type: Application
    Filed: February 21, 2002
    Publication date: August 22, 2002
    Inventors: Gyu-Chan Jeong, Ki-Sang Kim
  • Patent number: 6438458
    Abstract: A substrate conveying system wherein, at a substrate transfer position of a conveyance robot, a robot arm is moved upwardly at a normal speed from its lowermost position, and wherein the movement speed is changed to a lower speed at a predetermined position. During upward motion at the lower speed, the substrate is received and, while continuing the upward motion, the movement speed is changed back to the normal speed, at a second predetermined position. The robot arm continues its upward motion to its topmost position, with this normal speed.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: August 20, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroshi Shimoike, Takashi Nakahara
  • Patent number: 6429139
    Abstract: A wafer handling system for a wafer processing apparatus includes a wafer load lock chamber, a wafer processing chamber and a transfer chamber operatively coupled to the wafer load lock chamber and the wafer processing chamber. The transfer chamber includes a wafer transfer mechanism comprising a transfer arm pivotably coupled to a portion of the transfer chamber which forms an axis. The transfer arm is operable to rotate about the axis to transfer a wafer between the wafer load lock chamber and the process chamber in a single axis wafer movement. The invention also includes a method of transferring a wafer to a wafer processing apparatus. The method includes loading a wafer into a wafer load lock chamber and rotating a transfer arm into the wafer load lock chamber to retrieve the wafer therein.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: August 6, 2002
    Assignee: Eaton Corporation
    Inventors: Kevin Thomas Ryan, Peter Lawrence Kellerman, Frank Sinclair, Ernest Everett Allen, Roger Bradford Fish
  • Patent number: 6413356
    Abstract: A substrate loader for a semiconductor substrate processing system that generally includes a wall having an exterior side with one or more apertures formed therethrough and a related method of loading a processing system. A door assembly is movably coupled to the wall in each of the apertures and is adapted to temporarily retain the substrate. A first portion of the door assembly substantially closes the aperture when the door assembly is in a first or closed position, and a second portion of the door assembly substantially closes the aperture when the door assembly is in a second or open position. A robot for transferring a substrate in a processing system is also provided.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: July 2, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Himanshu J. Chokshi, Ben Ju, Eric J. Hilton
  • Patent number: 6390753
    Abstract: In a system for loading, processing and unloading substrates, a carrier has a bottom and a plurality of slots to receive a plurality of substrates for processing in a reactor. The reactor is tubular and has an inlet opening to receive the carrier loaded with substrates. A handling robot loads and unloads the substrates to and from the carrier when the carrier is located outside the reactor. A rotatable base plate supports the carrier and moves the carrier between a first position in proximity of the handling robot and a second position in proximity of the reactor. A lifting device introduces and removes the carrier in and from the reactor by lifting and lowering the carrier from and to the base plate when the carrier is in the second position. A door plate is coupled to the lifting device and to the bottom of the carrier. The door plate closes the inlet opening of the reactor when the carrier is introduced in the reactor and seals the reactor during processing.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: May 21, 2002
    Assignee: ASM International N.V.
    Inventor: Christianus Gerardus Maria De Ridder
  • Patent number: 6390754
    Abstract: A closed-type cassette is mounted on a cassette stand disposed in a working region at a position corresponding to an opening formed in a wall separating the working region from a loading region. When the cassette is mounted on the cassette stand, a detecting device gives a signal to that effect to a controller. Then, the controller closes a valve to interrupt nitrogen gas supply into the loading region. A lid of the cassette is opened 20 to 30 sec after the interruption of nitrogen gas supply into the loading region and, then, nitrogen gas supply is resumed. The pressure difference between the loading region and the interior of the cassette is decreased by interrupting nitrogen gas supply into the loading region, so that the lid can be easily opened.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: May 21, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Yamaga, Yuji Ono, Masahiro Miyashita, Osamu Tanigawa
  • Patent number: 6385503
    Abstract: The invention relates to a reactor (1) for processing wafers (7) comprising at least one process chamber (5), at least one transport chamber (2) with a transport robot (3) for the wafers (7), and at least one magazine (6) for wafers (7) with a lifting mechanism (12). To safeguard a better protection against damage also for wafers (7) stored in a magazine (6), an optical detection device (13, 14, 15, 16) is provided in the reactor (1) for monitoring the position of the wafers (7) stored in a magazine (6). All wafers stored one above the other in a magazine (6) with several compartments can thus be monitored, for example by means of a simple photoelectric barrier (13, 15). It is possible in this manner to monitor not only those wafers (7) which were just previously taken out or put in by the transport robot (3), but also all other wafers (7) present in the magazine (6).
    Type: Grant
    Filed: June 16, 1998
    Date of Patent: May 7, 2002
    Assignee: U.S. Philips Corporation
    Inventor: Wolfgang Volle
  • Patent number: 6382902
    Abstract: A handling robot control method is disclosed for a handling robot disposed in a transfer chamber (1) having a plurality of process chamber stations (2e) arranged around it in communication therewith via respective gates (6), the robot having a first and a second carrier tables (8a, 8b) that are deviated in turning angular position from one to the other about a center of turning, the robot performing an operation to move the first and second carrier tables to turn jointly in the transfer chamber and also an operation to move the first and second carrier tables individually either to project through a said gate into a said process chamber station or to retract into the transfer chamber. The method comprises: overlapping the operation to move the carrier tables to project and to retract with the operation to move the first and second carrier tables to turn jointly.
    Type: Grant
    Filed: October 5, 1999
    Date of Patent: May 7, 2002
    Assignee: Komatsu, LTD
    Inventor: Shunsuke Sugimura
  • Patent number: 6382896
    Abstract: A front-opening unified pod closing/opening control structure includes a transmission motor controlled to rotate a transmission shaft, causing the transmission shaft to rotate two worm gear sets through two worms, each worm gear set including a worm gear meshed with one worm, a radially extended locating plate fixedly fastened to the worm gear, and a gasket member having a rectangular center hole, two actuating bolts respectively fitted into the rectangular center hole and secured to the worm gear sets by a respective nut, keeping the locating plates of the worm gear sets maintained at right angles, two first stop pins adapted to stop the locating plates of the worm gear sets in a first position where the actuating bolts close the cover of the FOUP, and two second stop pins adapted to stop the locating plates of the worm gear sets in a second position where the actuating bolts open the cover of the FOUP.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 7, 2002
    Assignee: Industrial Technology Research Institute
    Inventors: Ping-Yu Hu, Kuan-Chou Chen, Tzong-Ming Wu, Wu-Lang Lin
  • Publication number: 20020044860
    Abstract: A processing system includes a transfer part (4) having a container disposed therein for performing taking out therefrom of a not-yet-processed object and loading therein the already-processed object; processing parts (11 through 18) for performing predetermined processing on the object; and a plurality of conveying units (21, 22) conveying the object between a first position for delivery of the object with the container disposed in the transfer part and a second position for delivery of the object with the processing part, wherein: each of the plurality of conveying units can move between the first position and second position; a moving path of the conveying units includes a plurality of paths each along an arrangement of the processing parts; and each of the conveying units can solely perform delivery of the object with the processing part on both sides or the transfer part.
    Type: Application
    Filed: May 8, 2001
    Publication date: April 18, 2002
    Inventors: Yoshinobu Hayashi, Mitsuyuki Yamaguchi, Yasushi Kodashima
  • Patent number: 6368040
    Abstract: A substrate transporting apparatus includes a wafer transfer arm 10 for carrying a plurality of semiconductor wafers W being processed horizontally, a pitch changer 20 for carrying the wafers W at predetermined intervals vertically and a posture changing device 30 positioned between the wafer transfer arm 10 and the pitch changer 20, for changing the posture of the wafers W to the horizontal and vertical arrangements. The pitch changer 20 includes a first holding part 21A and a second holding part 21B which are adapted so as to elevate relatively to each other. The wafers W are held by either one of the holding parts 21A, 21B at the predetermined intervals. The posture changing device 30 has a pair of holders 31 between which the semiconductor wafers W is interposed. The holders 31 are respectively provided, on their sides opposing each other, with a plurality of holding grooves 32A, 32B for retaining the wafers W independently.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: April 9, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Katuki Yamasaki, Osamu Kuroda, Kazuyuki Honda, Hiroshi Yamahata
  • Patent number: 6368044
    Abstract: A semiconductor wafer transfer machine for transferring first wafers having a first diameter from a first transferor carrier to a first receiver carrier and second wafers having a second diameter different from the first diameter from a second transferor carrier to a second receiver carrier. The wafer transfer machine includes a base plate with a longitudinal axis for placement on the base plate of the transferor carriers and the receiver carriers and a mechanism for transferring wafers contained in the first and second transferor carriers to the first and second receiver carriers, respectively.
    Type: Grant
    Filed: December 22, 1997
    Date of Patent: April 9, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Ernest C. Nichols, Leo L. Malmin, Jr.
  • Patent number: 6368051
    Abstract: A machine for manufacturing semiconductor devices has a processing chamber for processing the semiconductor wafer. A transfer chamber has at least two positions, one position to facilitate the transfer of a wafer to be processed into the transfer chamber and to facilitate the transfer of a processed wafer from the transfer chamber to the cassette from which the wafer originated. The second position facilitates the transfer of a wafer to and from the processing chamber. A transfer arm simultaneously transfers an unprocessed wafer from the first position to the second position with the transfer of a processed wafer from the second position to the first position.
    Type: Grant
    Filed: December 18, 2000
    Date of Patent: April 9, 2002
    Assignee: ASM America, Inc.
    Inventor: Ivo J. Raaijmakers
  • Patent number: 6364595
    Abstract: A reticle transfer system is disclosed for transferring reticles between a reticle-carrying SMIF container and a process tool. The reticle transfer system according to the present invention includes an arm assembly having a transfer arm and a gripping mechanism affixed to the end of the transfer arm. Once the container carrying the reticle has been opened, the gripping mechanism is rotated and translated to a position adjacent the reticle so that it may access the reticle and transfer it into the minienvironment through an access port in the minienvironment. In order to precisely, easily and repeatedly position the gripping mechanism in the desired location to grip and transfer the reticle, the gripping mechanism includes four downwardly extending posts spaced apart from each other so as to contact an outer rim of the container door as the gripping mechanism is lowered into position to grip and transfer the reticle.
    Type: Grant
    Filed: February 10, 1999
    Date of Patent: April 2, 2002
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, William J. Fosnight, Joshua W. Shenk
  • Patent number: 6366830
    Abstract: A self-teaching robot arm positioning method that compensates for support structure component alignment offset entails the use of a component emulating fixture preferably having mounting features that are matable to support structure mounting elements. Robot arm mechanism motor angular position data measured relative to component emulating fixture features are substituted into stored mathematical expressions representing robot arm vector motion to provide robot arm position output information. This information indicates whether the actual relative alignment between the robot arm mechanism and a semiconductor wafer carrier is offset from a nominal relative alignment. The robot arm mechanism position output information can be used to effect either manual or automatic correction of an offset from the nominal relative alignment.
    Type: Grant
    Filed: April 24, 2001
    Date of Patent: April 2, 2002
    Assignee: Newport Corporation
    Inventors: Paul Bacchi, Paul S. Filipski
  • Patent number: 6353773
    Abstract: A remote control system for remotely controlling a biped locomotion robot as manipulated by an operator has an upper body support mechanism for supporting an upper body of the operator while allowing the operator to move feet thereof. Operation states of the feet of the operator whose upper body is supported by the upper body support mechanism are detected, and leg operation commands are applied to the biped locomotion robot depending on the detected operation states of the feet of the operator. The operation states of the feet of the operator include at least an operation state of each of the feet of the operator which corresponds to lifting of each leg of the biped locomotion robot from a floor, and an operation state of each of the feet of the operator which corresponds to landing of each leg of the biped locomotion robot on a floor.
    Type: Grant
    Filed: April 21, 1998
    Date of Patent: March 5, 2002
    Assignee: Honda Giken Kogyo Kabushiki Kaissha
    Inventor: Toru Takenaka
  • Patent number: 6345947
    Abstract: A substrate arranging apparatus has a carrier support table 40 for supporting thereon carriers C holding a plurality of wafers in arranged in a row, first support mechanisms 42, 43 capable of moving upward relative to the table 40 to support the wafers contained in the carriers C, and a second support mechanism 60 capable of supporting the even or the odd wafers among the wafers supported by the first support mechanisms 60 and of raising the wafers supported thereon relative to the rest of the wafers. The first support mechanisms 42, 43 or the second support mechanism is able to turn through an angle of 180° relative to the other. As a result, the wafer are arranged in a front-to-front and back-to-back disposition. Thus, the carrier support table, the loader mechanism and the substrate arranging section are integrally combined and the time needed for the transfer of the wafers is shortened.
    Type: Grant
    Filed: November 5, 1998
    Date of Patent: February 12, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Kouji Egashira
  • Patent number: 6338604
    Abstract: A latch mechanism for latching a lid of a clean box having a box body opening at one surface and a lid for closing the opening includes a latch member pivotal about a shaft mounted on the box body, a latch engagement portion provided on the lid for engaging with the latch member in its predetermined pivotal position, and a biasing member for biasing the latch member toward the latch engagement portion. A guide surface is provided in a portion, facing outwardly, of the opening of the box body of the latch member. When the clean box is installed on a load port, the guide surface is brought into a pressing contact with the latch guide provided in the load port so that the latch member pivots to release the latch of the lid.
    Type: Grant
    Filed: April 27, 2000
    Date of Patent: January 15, 2002
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 6336204
    Abstract: A method and apparatus for handling deadlocks in a multichamber semiconductor wafer processing system known as a cluster tool. A plurality of software routines execute upon a sequencer of a cluster tool to perform deadlock avoidance, deadlock detection and deadlock resolution towards achieving optimal wafer throughput for a cluster tool.
    Type: Grant
    Filed: May 7, 1998
    Date of Patent: January 1, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Dusan Jevtic
  • Publication number: 20010055522
    Abstract: A substrate processing apparatus is composed of: a mounting table for mounting thereon a cassette including a lid detachably attached on an opening for carrying in/out a wafer; a cassette station for processing the wafer housed in the cassette on the mounting table; a sub-arm mechanism for taking the wafer out of the cassette on the mounting table, transferring it to the cassette station, and returning the wafer after processing to the cassette on the mounting table; a partition plate for partitioning an atmosphere on the sub-arm mechanism side from an atmosphere on the mounting table side; a slide stage for moving the cassette in a direction of an opening of the partition plate on the mounting table; a lid removing mechanism for removing the lid from the opening of the cassette through the opening of the partition plate; and an X-axis cylinder for controlling a thrust of the slide stage.
    Type: Application
    Filed: June 14, 2001
    Publication date: December 27, 2001
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masatoshi Kaneda, Michiaki Matsushita
  • Publication number: 20010053508
    Abstract: A heating processing chamber has a plate for holding a wafer and a heater heating the plate portion. The plate portion is composed of a plurality of divided plates separated from each other, and thereby the plate is hard to break even through a drastic change in temperature, thus making it possible to increase the durability of the plate.
    Type: Application
    Filed: June 19, 2001
    Publication date: December 20, 2001
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Shirakawa, Toshichika Takei
  • Publication number: 20010051088
    Abstract: A wafer transfer apparatus loads and unloads wafers into and from a wafer cassette. The apparatus includes an arm for picking up a wafer, a motor-driven mechanism connected to the arm for moving the arm vertically and horizontally, and a sensor for sensing when the lower surface of the arm contacts an upper surface of a wafer already seated in the wafer cassette. The sensor is made up of a sensor body, a controller, and an amplifier. The arm can be made of metal, in which case the sensor body includes an elastic layer coated on the lower surface of the arm, and an electrically conductive metal layer formed on the elastic layer. When the lower surface of the arm presses against a wafer, the metal layer contacts the metal arm and electrical signals indicative of such contact can thus be produced. Alternatively, the arm may be made of a ceramic.
    Type: Application
    Filed: February 9, 2001
    Publication date: December 13, 2001
    Inventors: Young Kyou Park, Rae Sam Park
  • Publication number: 20010051086
    Abstract: An automated feed mechanism (2) for retrieving a desired wafer assembly (20). The automated feed mechanism (2) has an elevator assembly (12) for storing a plurality of wafer assemblies (20) and the elevator assembly (12) is driven to facilitate retrieval of the desired wafer assembly (20). A pick and place assembly (16) retrieves electronic components (22), from a retrieved wafer assembly (20), and transports each retrieved electronic component (22) to a shuttle assembly (18). The shuttle assembly (18) comprises first and second shuttle platforms (34, 36), with one of the shuttle platforms (34 or 36) located adjacent the pick and place assembly (16) for loading electronic components (22) thereon, and the second shuttle platform (36 or 34) located at a dispensing position (D) for retrieval of the previously loaded electronic components (22) by an automated assembly machine (3).
    Type: Application
    Filed: March 13, 2001
    Publication date: December 13, 2001
    Inventors: Brian Blades, Rodney P. Jackson, James L. Dowling, Lawrence F. Roberts
  • Publication number: 20010048866
    Abstract: A loader disposed in a low cleanliness room along a border between the low cleanliness room and a high cleanliness room, for transporting a dust free article between an inside of a container receiving the dust free article to the high cleanliness room, which comprises: (a) a stage for mounting the container; (b) an opening portion through which the dust free article is transported between the inside of the container and the high cleanliness room; (c) a door for opening and closing the opening portion; (d) unifying means for unifying a cover of the container and the door when the container approaches the door; and (e) a driving means for transferring unified cover and door within the loader to simultaneously open and close the opening portion and the container.
    Type: Application
    Filed: October 19, 1999
    Publication date: December 6, 2001
    Inventors: FUMIO SAKIYA, MINEO KINPARA, KOJI OHYAMA, TOSHIYA NAKAYAMA, TOSHIAKI FUJII, OSAMU HORITA
  • Publication number: 20010043850
    Abstract: An indexer for magazine shelves of a magazine and wafer-shaped objects contained therein has the object of ensuring accurate access in any desired and predeterminable magazine plane, also for magazines which are provided with an opening on only one removing and charging side, by means of an all-purpose indexing, wherein it is possible to differentiate between various standardized magazine and wafer formats The magazine shelves and the wafer-shaped objects are detected by an optoelectronic sensor arrangement, at least a portion of which is designed as a distance measuring system The indexer is applicable in the manufacture of integrated circuits, in particular, for handling wafer-shaped objects in the form of semiconductors and masks
    Type: Application
    Filed: July 26, 1996
    Publication date: November 22, 2001
    Applicant: Jenoptick Aktiengesellschaft
    Inventors: VOLKER SCHLEHAHN, KLAUS SCHULTZ
  • Patent number: 6318957
    Abstract: The invention is a carrier comprising three support elements connected by an underlying frame. The periphery of a wafer rests upon the support elements. The invention also comprises a wafer handler with a plurality of arms. Spacers space the carrier above a base plate associated with a station in a wafer handling area. An arm slides beneath the frame and between the spacers, but the handler does not contact the wafer. A method of using the handler and carrier is provided where the handler lifts and rotates the carrier with the wafer through various stations in a wafer handling area. A control device reduces the handler speed only at critical points of the processing cycle. The handler is capable of moving a plurality of carriers and wafers simultaneously.
    Type: Grant
    Filed: February 24, 1999
    Date of Patent: November 20, 2001
    Assignee: ASM America, Inc.
    Inventors: Paul R. Carr, Paul T. Jacobson, James F. Kusbel, James S. Roundy, Ravinder K. Aggarwal, Ivo Raaijmakers, Rod Lenz, Nilesh Rajbharti
  • Patent number: 6320402
    Abstract: A system for inspecting semiconductor wafers of at least one lot of semiconductor wafers for proper integrated circuit fabrication includes multiple different inspection stations. Each of the different inspection stations inspects a respective integrated circuit fabrication feature of a semiconductor wafer. In addition, a semiconductor wafer robotic handling system, that is coupled to each of the inspection stations, transfers the semiconductor wafers between the inspection stations. Furthermore, a host server is coupled to the inspection stations and the semiconductor wafer robotic handling system. The host server controls the semiconductor wafer robotic handling system to transfer a predetermined one of the semiconductor wafers to a predetermined one of the inspection stations at a predetermined time.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: November 20, 2001
    Inventors: Khoi A. Phan, Bernard Matt, Nicholas R. Maccrae
  • Patent number: 6319373
    Abstract: A substrate transfer system is used in an in-line film deposition system. The substrate transfer system is provided with an auxiliary vacuum chamber and a main vacuum chamber. The auxiliary vacuum chamber has a plurality of first substrate cassettes. The main vacuum chamber is communicated with another vacuum chamber through which carriers are transferred along a transport path. The main vacuum chamber has two robots and a plurality of second substrate cassettes arranged in parallel on which the substrates is placed. The second substrate cassettes are arranged between the two robots. The substrates are disk-shaped substrates having center holes. The center holes are utilized as hook parts during a pickup operation. Thereby the method of mounting substrates in the holders of carriers etc. is improved without changing the operating speed of the robots. Therefore the amount of substrates transported per unit time is increased and the processing capacity of the substrate processing system is enhanced.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: November 20, 2001
    Assignee: Anelva Corporation
    Inventors: Terushige Takeyama, Nobuhito Miyauchi, Takashi Shiba
  • Patent number: 6318538
    Abstract: A device for treating a substrate in which the cagelike body of the device can be made smaller and in which the waiting time in the treatment parts and the workpiece exchange time can be shortened is achieved as follows: there are two cassette receiving parts, one exposure part and one alignment part arranged in two rows. Furthermore the alignment part and the first cassette receiving part are located in the direction in which a first arm and a second arm of a workpiece transport device extend. Moreover, the two treatment parts and a second cassette receiving part are arranged such that the distances between them are identical to the distance between the first arm and the second arm. The first arm and the second arm move in the Y-direction, are extended or retracted in the X-direction at the same time, and fix the workpiece by the workpiece holding parts which are located on their tips. The workpiece is removed from the cassette of the first cassette receiving part and transported to the treatment parts.
    Type: Grant
    Filed: February 16, 2000
    Date of Patent: November 20, 2001
    Assignee: Ushiodenki Kabushiki Kaisha
    Inventor: Isamu Shibuya
  • Patent number: 6318948
    Abstract: This invention related to a substrate transfer apparatus having an arm holder moving into and out of a cassette while a substrate is mounted thereon, a forward and backward driving mechanism for moving the arm holder forward and backward, and a contact support member for supporting the substrate in contact with a lower surface peripheral portion of the substrate placed on the arm holder, said contact support member comprising a first defining portion for defining a front end of the substrate placed on the arm holder, and a second defining portion facing the first defining portion, for defining a rear end of the substrate placed on the arm holder.
    Type: Grant
    Filed: May 14, 1999
    Date of Patent: November 20, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Tadayuki Yamaguchi
  • Patent number: 6319297
    Abstract: Modular cartridges which may be inserted into and removed from a well or receptacle on the bottom of a pod. The cartridges may be configured to include various types and combinations of valves, filters, and/or conditioning agents. A standalone cartridge replacement station may be provided for inserting and removing various cartridges from one or more of the receptacles on the bottom of a pod while wafers are seated within the pod. The standalone replacement unit may decouple an existing cartridge from the pod by rotating the cartridge from a locked to an unlocked position and then lowering the cartridge out of the pod. Thereafter, the replacement station may insert a new cartridge up into the appropriate receptacle and rotate the cartridge into a locked position in the pod.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: November 20, 2001
    Assignee: Asyst Technologies, Inc.
    Inventor: William J. Fosnight
  • Patent number: 6318944
    Abstract: A semiconductor fabricating apparatus having a vertical reaction furnace, a boat for holding plural wafers in a multi-layered fashion and being loaded into the vertical reaction furnace, a storage disposed at a location corresponding to the boat for storing at least one of the wafer cassettes, a wafer transfer device for transferring the wafer between the storage and the boat, a cassette transfer unit for transferring the wafer cassettes between the apparatus and outside thereof, a cassette transfer device for effecting the transfer of the wafer cassettes between the cassette transfer unit and the storage, and a plurality of cassette shelves disposed within a range allowing transfer of the wafer cassettes from the cassette transfer device for receiving the wafer cassettes in upwardly-oriented positions.
    Type: Grant
    Filed: June 4, 1996
    Date of Patent: November 20, 2001
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Kazuhiro Shimeno, Kouji Tometsuka, Shigeo Ohba
  • Patent number: 6312525
    Abstract: A modular vacuum system may have vacuum chamber modules, power supply modules and control system modules. The vacuum chamber modules may be defined with a clear interface between them. There may be several interfaces on a transfer chamber module that provide connections to any process chamber module. The interfaces may provide common facilities and electrical connections to matching connections on the process chambers and may also provide some configurability with a removable optional facilities interface. The power supply modules may provide all of the power necessary for one corresponding chamber module and be built into cabinets for connecting together as a modular and scalable system. The modular control system may have objects that represent each chamber module in the vacuum system; and may have a system level that configures, initiates, distributes and controls the objects.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: November 6, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Nick Bright, Ben Mooring
  • Patent number: 6309166
    Abstract: A wafer transfer apparatus for taking out a wafer from a wafer cassette having grooves on both ends for holding circumferential edges of a plurality of wafers by moving a wafer transfer arm in height directions and back and forth directions relative to the wafer cassette. The apparatus comprises a wafer pushing out mechanism for pushing out a wafer on the back of a wafer inlet/outlet side of the wafer cassette into which the transfer arm is inserted. The wafer pushing out mechanism comprises a pushing pin rotatable when it is in contact with the wafer and a driving mechanism for driving the pushing pin so as to push the wafer from the back of the wafer cassette to the wafer inlet/outlet side.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: October 30, 2001
    Assignee: Olympus Optical Co., Ltd.
    Inventors: Tomoo Kato, Keiji Kimura
  • Patent number: 6308107
    Abstract: A method for pre-positioning routed material in a computer controlled manufacturing arrangement having alternate locations for predetermined ones of a plurality of manufacturing process steps and predetermined types of materials to be routed into alternate locations. The method includes establishing a distribution of events indicative of the alternate locations at which material is processed for a manufacturing process step with respect to the materials to be routed and documenting the distribution of events in a database with respect to the type of materials to be routed and the alternate locations in terms of routing times, therein establishing a historical routing time for the type of material to be routed. In addition, there is established the actual mix of materials to be routed to the alternate locations and their historical routing time and actual routing time for the type of routed material are compared.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: October 23, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Patrick J. Ryan, Elfido Coss
  • Publication number: 20010025207
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Application
    Filed: January 26, 2001
    Publication date: September 27, 2001
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6287386
    Abstract: The present invention generally provides a rotary wafer carousel and related wafer handler for moving wafers or other workpieces through a processing system, i.e., a semiconductor fabrication tool. Generally, the present invention includes a rotary wafer carousel having a plurality of wafer seats disposed thereon to support one or more wafers. The rotary carousel is preferably disposed through the lid in a transfer chamber opposite the robot which is preferably disposed through the bottom of the transfer chamber. The rotary carousel and the robot cooperate to locate wafers adjacent to process chambers and move wafers into and out of various chambers of the system. The invention improves the throughput of the system by positioning wafers adjacent to the appropriate chamber to reduce the amount of movement required of the robot for transporting wafers between chambers.
    Type: Grant
    Filed: June 12, 1999
    Date of Patent: September 11, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Alexey Goder, Eugene Gantvarg
  • Patent number: 6286688
    Abstract: A compliant wafer comb assembly for use in transferring semiconductor wafers between wafer cassettes, wafer carriers, or other wafer holding devices. The compliant wafer comb includes a plurality of slots in which wafer(s) can be held while being transported. The slots are parallel to each other and downward sloping from one end of the comb to the other. The sloped arrangement causes a single wafer at a time to become engaged with the comb when a batch of wafers is transferred from a cassette or wafer holding device to the comb, allowing the compliant features of the comb to compensate for the misalignment of each wafer individually. The comb is coupled to a static base structure by a set of independent column springs which permit motion of the comb slots in any direction necessary to compensate for a wafer's misalignment. This permits transfer of a misaligned wafer or wafers from a cassette or other carrier to the comb without damaging the wafer(s).
    Type: Grant
    Filed: April 2, 1997
    Date of Patent: September 11, 2001
    Assignee: SCP Global Technologies, Inc.
    Inventors: Victor B. Mimken, Tom Krawzak
  • Patent number: 6287984
    Abstract: A loading area capable of forming a sealed space in co-operation with a reaction chamber is provided. In a state in which the inner space of the reaction chamber is separated from the inner space of the loading area by a shutter plate, the oxygen concentrations in the reaction chamber and the loading area are both adjusted to a specific concentration. After both the oxygen concentrations coincide with each other at the specific value, semiconductor wafers held on a wafer boat are inserted from the loading area into the reaction chamber by a boat lifter.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: September 11, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yasuhiko Horie
  • Patent number: 6287025
    Abstract: A resist coating block is composed of a resist coating unit, a cooling unit group, and a first sub-conveying unit that conveys a wafer W between the resist coating unit and the cooling unit group. A developing block is composed of a developing unit, a cooling unit group, and a second sub-conveying unit that conveys a wafer W between the developing unit and the cooling unit group. Heat insulating panels are disposed to the blocks opposite to heating units. A first main-conveying unit and a second main-conveying unit are disposed between the blocks. The first main conveying unit is disposed between a first heat treatment block and a third heat treatment block. The second main conveying unit is disposed between a second heat treatment block and a fourth heat treatment block. Thus, variation of the film thickness of a resist film coated on a wafer W can be prevented against heat radiated by the heating units.
    Type: Grant
    Filed: August 13, 1999
    Date of Patent: September 11, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Matsuyama
  • Patent number: 6280134
    Abstract: An interface for transferring wafer carriers between a conveyor and a process chamber load location is provided. The interface has two portions. The first portion is configured so that a first wafer carrier moving along a wafer carrier transport mechanism (e.g., a conveyor) may travel therethrough. The second portion is configured so that a second wafer contained within the second portion may index between a first position and a second position without obstructing passage of the first wafer carrier through the first portion. The interface contains an indexer/elevator that facilitates wafer carrier coupling and uncoupling from the conveyor, and facilitates wafer transfer to and from wafer handlers that load process chambers. Numerous interfaces can be employed to create semiconductor device fabrication systems and to interconnect various semiconductor device fabrication systems.
    Type: Grant
    Filed: June 17, 1997
    Date of Patent: August 28, 2001
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Nering