Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 7062344
    Abstract: Disclosed is a fabricating system including a plurality of processing apparatuses connected to each other by means of an inter-apparatus transporter, wherein one group of semiconductor wafers are processed in processing apparatuses and other group of wafers are transported to specified processing apparatuses for a time interval from (To+T) to a time To; and another group of wafers are processed and the remaining group of wafers are transported for a time interval from (To+T) to (To+2T). Since processing apparatuses can receive at least one of works from the inter-apparatus transporter for a time interval T min, the distribution of works from the transporter to processing apparatuses is completed for the time interval T min. The transporter is emptied for each time interval T min, and works are unloaded to the emptied transporter, which makes easy the scheduling, control and management of the transporting of a plurality of works in the fabricating system.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: June 13, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Natsuki Yokoyama, Yoshifumi Kawamoto, Eiichi Murakami, Fumihiko Uchida, Kenichi Mizuishi, Yoshio Kawamura
  • Patent number: 7044703
    Abstract: Improvement of the workability in an automatic guided vehicle which carries and transfers a semiconductor wafer between stations in a semiconductor manufacturing plant etc. An automatic guided vehicle 1 is moved to an objective station after storing a wafer 10 in a buffer cassette with a transfer equipment 3, the wafer 10 in a cassette 5 is transferred to a positioning device 4 by taking it up with the transfer equipment 3, an ID information of the wafer 10 is read by a OCR 43 after truing up the position and direction of the wafer 10 by the positioning device 4, the wafer 10 whose ID information is read is retained with a transfer hand 31, another wafer 10 placed on the station then is removed by the other transfer hand 31, the wafer 10 whose ID information is read is transferred to the station in the predetermined position and direction and the ID information is controlled to transmit to the station.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: May 16, 2006
    Assignees: Murata Kikai Kabushiki Kaisha, Tokyo Electron Limited
    Inventors: Isao Fukuda, Shuji Akiyama
  • Patent number: 7042553
    Abstract: Disclosed herein is an apparatus for conveying substrates, which is capable of efficiently conveying large substrates and has a function to temporarily store the substrate in a buffer unit. The apparatus includes a substrate support unit, a conveying unit, and the buffer unit. The substrate support unit supports the substrate thereon. The conveying unit sequentially conveys the substrate support unit to a plurality of processing units. The buffer unit is provided at a predetermined portion of the conveying unit to move the substrate support unit upward away from the conveying unit in a vertical direction, thus temporarily storing the substrate support unit therein while spacing the substrate support unit apart from the conveying unit. The substrate conveying apparatus thus efficiently conveys the substrates, regardless of sizes of the substrates, while temporarily storing the substrates to buffer a difference in the processing time.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Geun-soo An, Gi-cheon Yoon
  • Patent number: 7039501
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 7033126
    Abstract: A receiver frame loads and unloads a batch of semiconductor wafers onto wafer holders in a wafer boat. The wafer holders extends continuously about the perimeter of an overlying wafer. The receiver frame is provided with a plurality of supporting arms which are immovably mounted to a vertically extending structure. The supporting arms are coaxially aligned and vertically spaced in a manner corresponding with the spacing of the wafer holders in the wafer boat. Each supporting arm is configured to be accommodated below a support ring, with its distal end extending to align with the center region of the wafer holder. The distal end of each supporting arm is provided with at least three support pins to support a wafer vertically spaced above a wafer holder. To load wafers onto the wafer holders, after placing the wafers upon the support pins, the wafer holders are moved above the support pins so that the wafer holders contact and lift the wafers off the support pins.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: April 25, 2006
    Assignee: ASM International N.V.
    Inventor: Jannes Remco Van Den Berg
  • Patent number: 7032739
    Abstract: An intermediate product carrying apparatus is provided for loading a carrier, which is carried between a plurality of process systems and stores a plurality of intermediate products, into the corresponding process system, and then transferring the plurality of intermediate products of the carrier to a single wafer carrying conveyer, which carries the intermediate products in a single wafer state to an intermediate product manufacturing apparatus provided in the process system. The intermediate product carrying apparatus comprising: an intermediate product transfer means provided in the process system, for loading the carrier, which is carried between process systems and which stores the plurality of intermediate products, into the corresponding process system, and transferring the intermediate products.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: April 25, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Shuji Tanaka, Yoshitake Kobayashi, Hisashi Fujimura, Yasutsugu Aoki
  • Patent number: 7014415
    Abstract: A substrate transfer apparatus, for removing a substrate from a substrate accommodating tray accommodating the substrate in a horizontal state, includes a plurality of support pins for raising the substrate accommodated in the substrate accommodating tray above the substrate accommodating tray. The substrate accommodating tray includes a plurality of openings through which the plurality of support pins are to be inserted. The plurality of support pins are moved up relative to the substrate accommodating tray and are inserted through the plurality of openings of the substrate accommodating tray, so as to raise the substrate. The plurality of support pins each have a length sufficient to be vertically inserted through a plurality of substrate accommodating trays stacked vertically.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: March 21, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takenori Yoshizawa
  • Patent number: 7011483
    Abstract: A load port includes an adapter-detecting sensor arranged at an upper portion of an opener. When the opener opens a lid of a FOUP, the adapter-detecting sensor detects whether a wafer adapter is mounted in the FOUP, and notifies a control unit of a semiconductor manufacturing apparatus of the result of the detection. The control unit determines the size of semiconductor wafers based on the result of the detection and carries out processing operation dependent on the size of the wafers in a processing chamber.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: March 14, 2006
    Assignee: Fujitsu Limited
    Inventors: Yasutaka Ogawa, Shigenori Kawase
  • Patent number: 7011484
    Abstract: An apparatus for transporting a flat object from one position to another position. The apparatus includes an end effector having a base portion and at least one finger extending from the base portion. The finger having a top surface and a bottom surface, and the finger including a free end. The top surface includes a substantially flat portion extending from the base portion, and wherein the finger includes a tapered portion extending from the substantially flat portion towards the free end.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: March 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuei-Hung Lee
  • Patent number: 7008884
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: March 7, 2006
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 7004708
    Abstract: Systems and methods are described for wafer processin. A wafer processing apparatus includes: a first wafer transporter; a process station coupled to the first wafer transporter, the process station including: a first plurality of wafer processing stacks, each of the plurality of wafer processing stacks including a plurality of wafer processing modules, and a second wafer transporter coupled to the plurality of wafer processing modules, each of the plurality of wafer processing modules adjacent, and accessible by, the second wafer transporter; and a third wafer transporter coupled to the process station, wherein any of the plurality of wafer processing modules in any of the plurality of wafer processing stacks can be accessed by at least two adjacent wafer transporters from among the first, second and third wafer transporter.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: February 28, 2006
    Assignee: ASML Holding N.V.
    Inventor: Jae Heon Park
  • Patent number: 6984839
    Abstract: A wafer processing apparatus on which a pod having an opening is detachably mounted is provided with a door unit and a mapping unit provided with a transmitting type sensor having an emitter and a detector forming a slot therebetween. The emitter and the detector are moved toward the opening in the pod and are plunged into the interior of the pod after a door is opened by the door unit, and the slot between the emitter and the detector crosses an end portion of a wafer to thereby detect the presence or absence of the wafer. Thereby, a mechanism portion liable to produce dust which may adhere to the wafer and cause the contamination thereof can be disposed separately from the pod.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: January 10, 2006
    Assignee: TDK Corporation
    Inventors: Hiroshi Igarashi, Tsutomu Okabe, Toshihiko Miyajima
  • Patent number: 6979168
    Abstract: Bays 100, 200, 300 . . . are connected to an inter-bay transfer line 400 via bay stockers 130, 230, 330 . . . , respectively. The bay 100 is, in this embodiment, composed of a single wafer transfer line 120 having a looped planar shape and processing equipments 101–106 arranged side by side along the longitudinal transfer direction of the transfer line (direction crossing the transfer direction of inter-bay transfer line 400). Processing equipments 101–103 are arranged side by side along one side of the transfer line 120, and the remaining processing equipments 104–106 are arranged side by side along the other side of the transfer line 120. The processing equipments 101–106 are equipped with transfer robots 11–16, respectively. Moreover, processing equipments 101–106 are each equipped with a chamber (not shown) for processing wafers W one by one (single wafer processing chamber).
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: December 27, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoichi Uchimaki, Yuko Egawa, Tetsunori Kaji
  • Patent number: 6975920
    Abstract: Wafer order is randomized in-situ by use of a separate wafer staging area and randomly shuffling wafers to and from this staging area to shuffle the processing order of the wafer lot. Positional data is captured for each wafer at both the send and receive ends of the process.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: December 13, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Randolph W. Kahn, Kenneth G. Vickers, Richard L. Guldi, Edward J. Leonard, Yaojian Leng
  • Patent number: 6942738
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay. A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: September 13, 2005
    Assignee: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Patent number: 6930050
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: August 16, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6927181
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 6918730
    Abstract: An apparatus for assisting an operator of an electric-component supply device including component feeders mounted on feeder support and each accommodating electric components, the apparatus including (a) a position indicator operable to indicate the feeder-mounting position at which the component feeder is to be mounted on the feeder support, and/or the feeder-mounting position at which the component feeder has been removed from the feeder support, and/or (b) a feeder indicator operable to indicate at least one of (i) the component feeder which has been mounted on the feeder support, (ii) the component feeder which is to be removed from the feeder support and (iii) the component feeder on which an intermediate operation is required to be performed between moments of the operations to mount and remove the component feeder on and from the feeder support.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: July 19, 2005
    Assignee: Fuji Machine Mfg. Co., Ltd.
    Inventors: Takayoshi Kawai, Shinsuke Suhara, Yusuke Tsuchiya
  • Patent number: 6914251
    Abstract: Apparatus and method for placement of workpieces such as silicon wafers in relation to an integrated circuit fabrication tool. A robotic arm mounted in relation to the tool moves workpieces in and out of the tool. A spacer has an exposed spacer surface facing away from the tool. The relative position of this exposed spacer surface is adjustable with respect to the tool. A movable cassette support supports one or more workpieces and is placed in abutting relationship with the spacer surface. It is onto this cassette support surface that a conveyor system such as an overhead transport delivers cassettes containing workpieces such as wafers for subsequent treatment by the tool.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: July 5, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventors: Steven D. Weed, Allan D. Weed
  • Patent number: 6900878
    Abstract: Reticle-holding devices (reticle “pods”) are disclosed for holding circular reticles as used microlithography systems that use circular reticles. An exemplary reticle pod includes a base and cover. Mounted to the base are multiple (desirably three) reticle-support blocks providing three respective, equally spaced, reticle-contact surfaces that support a reticle in the peripheral “handling zone” of the reticle. Mounted to the inside surface of the cover are corresponding compliant pressure-application members (desirably respective flat springs terminating with respective reticle-contact members) that apply a holding force to the reticle. A respective portion of the reticle is situated between each pressure-application member and a respective reticle-support surface. Thus, the reticle, configured as a SEMI standard wafer, is stably held at three points in the handling zone of the reticle without damaging the reticle.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: May 31, 2005
    Assignee: Nikon Corporation
    Inventors: Yukiharu Okubo, Hidekazu Kikuchi
  • Patent number: 6896513
    Abstract: A system and method for processing large area substrates is provided. In one embodiment, a processing system includes a transfer chamber having at least one processing chamber and a substrate staging system coupled thereto. The staging system includes a load lock chamber having a first port coupled to the transfer chamber and a heat treating station coupled to a second port of the load lock chamber. A load lock robot is disposed in the load lock chamber to facilitate transfer between the heat treating station and the load lock chamber.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: May 24, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Robert Z. Bachrach, Wendell T. Blonigan
  • Patent number: 6897124
    Abstract: A bonded wafer 27 and a residual wafer 28 are placed in a state of being superimposed on each other on a susceptor 20 disposed in a heat treatment 10. A Bernoulli chuck 1 is moved to a wafer holding position 60 on a susceptor 20 by driving an arm 56, sucks the bonded wafer 27 positioned on the upper side and then moves to a bonded wafer recovery table 50? to recover the bonded wafer there. Then, similarly, the Bernoulli chuck 1 suction holds the residual wafer 28 at the wafer holding position 60 and then moves to a residual wafer recovery table 50? to recover the residual wafer there. With such a construction adopted, in a method for manufacturing a bonded wafer according to a so-called smart-cut method, not only is the separated bonded wafer recovered suppressing occurrence of a defect, deficiency and contamination, but there is also provided a method for manufacturing a bonded wafer capable of automation suitable for mass production.
    Type: Grant
    Filed: May 27, 2002
    Date of Patent: May 24, 2005
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Naoto Tate, Hiroji Aga
  • Patent number: 6881914
    Abstract: An apparatus for handling, storing and reloading carriers for disk-shaped items, such as semiconductor wafers or CDs, has at least one cleaning unit and at least one storage unit for the carriers containing the disk-shaped items. The apparatus further has at least one sorting unit for the disk-shaped items. The cleaning unit, the storage unit and the sorting apparatus are integral component parts of the apparatus and are operated by a common automatic control.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: April 19, 2005
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventors: Michael Lering, Reiner Missale, Martin Peiter
  • Patent number: 6862495
    Abstract: Wafer order is randomized in-situ by use of a separate wafer staging area and randomly shuffling wafers to and from this staging area to shuffle the processing order of the wafer lot. Positional data is captured for each wafer at both the send and receive ends of the process.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: March 1, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Randolph W. Kahn, Kenneth G. Vickers, Richard L. Guldi, Edward J. Leonard, Yaojian Leng
  • Patent number: 6830449
    Abstract: The present invention provides an injector robot for replacing a gas injector in a running furnace, which is still running and without any cooling and reheating action. According to the present invention, the injector robot is arranged and mounted upon a boat elevator, which is originally used to transport a boat with wafers into the furnace. The replacement of the gas injector could be executed precisely and safely by the assistant means and will not affect the predetermined procedure of the furnace.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: December 14, 2004
    Assignee: SIS Microelectronics Corporation
    Inventor: Cheng-Chung Hung
  • Patent number: 6830651
    Abstract: A load port which can selectively receive plural types of cassette having substrate which are to be processed accommodated therein is disclosed. The load port has the following constituents. That is, the load port includes a main body, an opening portion (which has a configuration that opens in the three surfaces) formed in one side surface of the main body, a placement table formed in the opening portion and used to place the cassette thereon, a first sensor mechanism (which identifies the type of the cassette) provided on the placement table, plural types of clamp mechanism (each type of the clamp mechanism clamping the corresponding type of cassette) provided on the placement table, and an up-down-type cover mechanism (which is vertically moved) which covers the opening portion of the main body.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: December 14, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 6828235
    Abstract: It is an object of the present invention to adjust the transfer environment of a substrate in order to prevent contamination of the substrate surface by impurities. A semiconductor manufacturing apparatus comprises a load-lock chamber 1 in which substrate exchange with the outside is performed, a wafer process chamber 2 in which the wafer is subjected to a predetermined processing, and a transfer chamber 3 in which the wafer is transferred between the load-lock chamber 1 and the wafer process chamber 2. In a semiconductor manufacturing method in which this semiconductor manufacturing apparatus is used to treat a substrate, an inert gas (N2) is supplied to and exhausted from the load-lock chamber 1, the transfer chamber 3, and the wafer process chamber 2 while the substrate is being transferred from the load-lock chamber 1 to the wafer process chamber 2 through the transfer chamber 3, and the substrate transfer is carried out with a predetermined pressure maintained.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: December 7, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Publication number: 20040240983
    Abstract: A transfer chamber for a cluster system includes a first body, a second body attached at one side of the first body, and a cover combined with an upper portion of the first body. The transfer chamber further includes a third body at another side of the first body, wherein the third body has the same shape as the second body.
    Type: Application
    Filed: June 2, 2004
    Publication date: December 2, 2004
    Applicant: Jusung Engineering Co., Ltd.
    Inventor: Geun-Ha Jang
  • Patent number: 6824613
    Abstract: A substrate processing apparatus can efficiently form, e.g. by electroless plating, an interconnects-protective layer on the surface of a substrate at a low initial cost for the apparatus and a low running cost without the need for a wide installation space. The substrate processing apparatus includes a loading/unloading and cleaning area accommodating a first transfer robot which has a hand adapted for handling a dry substrate and a hand adapted for handling a wet substrate, a loading port which loads a substrate cassette that houses a substrate, and a cleaning unit for cleaning a substrate. A plating treatment area accommodates a second transfer robot which has a back surface-attracting type of hand provided with a reversing mechanism, a pretreatment unit for carrying out pretreatment of a substrate before plating, and a plating treatment unit for carrying out plating treatment of the substrate.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: November 30, 2004
    Assignee: Ebara Corporation
    Inventors: Naoki Dai, Masaya Seki, Akihiro Yazawa, Toshio Yokoyama, Akira Owatari
  • Publication number: 20040228707
    Abstract: Disclosed is a system for moving substrates in and out of a manufacturing process.
    Type: Application
    Filed: June 21, 2004
    Publication date: November 18, 2004
    Inventors: Min-Young Heo, Sung-Joon Byun, Jung-Teak Lim, Byung-Kwen Park
  • Patent number: 6811370
    Abstract: An apparatus for handling and positioning wafers or other flat objects. The apparatus has an XY stage with an X-drive and a Y-drive, and a bed attached to the XY stage. A chuck (e.g. a vacuum chuck) is disposed on the bed and an effector is attached to the bed. The effector can rotate about an axis of rotation extending in the Z-direction. The effector can pick up objects and place the objects onto the chuck. The effector can also pick up objects from the chuck. Preferably, the chuck has a recessed region for accommodating the effector so that the effector can be inserted under a flat object on the chuck. The X-drive or Y-drive of the XY stage provides linear motion for the effector so that the effector can pull wafers from a cassette such as used in the semiconductor industry. Alternatively, the effector is attached to a linear actuator disposed on the bed.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: November 2, 2004
    Assignee: N&K Technology, Inc.
    Inventor: Dale Buermann
  • Patent number: 6799940
    Abstract: A removable semiconductor wafer susceptor used for supporting a substrate during batch processing. The susceptor includes a flat circular central plane with a predetermined outer diameter. The susceptor is sized to fit within an inner diameter formed from wafer support ledges of a wafer transport container. The susceptor includes edges that are chamfered and rounded to lessen stress concentration at the edges. The susceptor is transported through processing by a sieving action of transport automation.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: October 5, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Raymond Joe, Anthony Dip
  • Patent number: 6799932
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 5, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6790286
    Abstract: Substrate processing parts are stacked and arranged in a multistage manner around a transport robot arranged at the center of a processing area. Rotary application units are arranged on a second layer through an indexer and the transport robot. Rotary developing units are stacked above the rotary application units respectively on a fourth layer located above the second layer. Multistage thermal processing units and an edge exposure unit are horizontally arranged in line above the indexer. In place of the processing units, inspection units performing a macro defect inspection and pattern line width measurement may be arranged in the upside region of the indexer space.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: September 14, 2004
    Assignee: Dainippon Screen Mfg. Co. Ltd.
    Inventors: Joichi Nishimura, Masami Ohtani, Kenji Hashinoki, Masayoshi Shiga, Koji Hashimoto
  • Patent number: 6778874
    Abstract: An alignment tool, method and system for aligning a cassette handler to a robot blade in a workpiece handling system so as to compensate for tilt exhibited by an elevator shaft as the cassette is elevated between various slot positions. In one embodiment, the cassette tilt angle may be measured at a first height of the cassette. After activating the elevator to raise the cassette to a second slot position, preferably near the other end of the cassette, the tilt angle of the cassette may be measured again. If the tilt angle has changed, such change has likely been induced by the elevator. The cassette tilt angle may be adjusted in accordance with the measured angle at which the elevator shaft tilts the cassette as the cassette is raised or lowered to reduce accidental breakage or damaging of wafers or other workpieces.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: August 17, 2004
    Inventor: Ronald Vern Schauer
  • Publication number: 20040158348
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Application
    Filed: February 9, 2004
    Publication date: August 12, 2004
    Applicant: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6772046
    Abstract: A method for monitoring an operational failure of a stocker for use in a semiconductor factory automation system, wherein the stocker is divided into a plurality of operational parts, includes the steps of: a) generating stocker state information representing an operational state of the stocker in response to a stocker state signal issued from the stocker; b) inspecting the stocker state information to update the operational state of the stocker previously stored; c) generating a warning signal when at least one part contained in the stocker has failed; and d) generating an audiovisual warning sign in response to the warning signal. The method can effectively provide audiovisual warning signs when the stocker has failed.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: August 3, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Chang-Jun Lee, Kyoung-Jin Seo
  • Patent number: 6763281
    Abstract: An alignment tool, method and system are provided for aligning a robot blade in a workpiece handling system, in which the tool comprises a frame or fixture adapted to be supported by a transfer chamber support surface or other support surface in the system, in which the frame has one or more non-contact distance sensors positioned to measure the distance of a workpiece or robot blade from the sensor or a predetermined reference point or surface. In one embodiment, the frame is used to align a robot blade relative to a robot support alignment surface in a robot chamber. In another embodiment, the frame emulates a workpiece cassette and the distance sensors provide an output to align the robot blade to a cassette support alignment surface. As a consequence, accidental scratching and breakage of workpieces such as semiconductor wafers and display substrates may be reduced or eliminated.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: July 13, 2004
    Assignee: Applied Materials, Inc
    Inventors: Ronald Vern Schauer, Alan Rick Lappen
  • Patent number: 6759336
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove absorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: July 6, 2004
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Patent number: 6755603
    Abstract: A substrate transporting apparatus includes a wafer transfer arm 10 for carrying a plurality of semiconductor wafers W being processed horizontally, a pitch changer 20 for carrying the wafers W at predetermined intervals vertically and a posture changing device 30 positioned between the wafer transfer arm 10 and the pitch changer 20, for changing the posture of the wafers W to the horizontal and vertical arrangements. The pitch changer 20 includes a first holding part 21A and a second holding part 21B which are adapted so as to elevate relatively to each other. The wafers W are held by either one of the holding parts 21A, 21B at the predetermined intervals. The posture changing device 30 has a pair of holders 31 between which the semiconductor wafers W is interposed. The holders 31 are respectively provided, on their sides opposing each other, with a plurality of holding grooves 32A, 32B for retaining the wafers W independently.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: June 29, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Yamahata
  • Patent number: 6747230
    Abstract: A method and a device for sorting wafers from an initial state into an end state. The wafers are at least partly identifiable as elements of a finite sequence based on an information carrier. In the initial state, the wafers are arranged in any desired sequence in compartments of a holding device and in the end state each wafer is arranged in accordance with its position in the sequence in the compartments of the holding device. The first wafer is removed from a first compartment of the holding device. The information carrier of the first wafer is read by a reading device to determine the position of the first wafer in the sequence. Subsequently, a second wafer is removed from a second compartment corresponding to the position of the first wafer in the sequence. The first wafer is moved into the compartment corresponding to its position in the sequence.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: June 8, 2004
    Assignee: Infineon Technologies AG
    Inventors: Dirk Caspary, Dorit Kaulfuss
  • Patent number: 6748293
    Abstract: Methods and apparatus for high speed workpiece handling are provided. The method for workpiece handling includes removing a workpiece from a first cassette with a first robot, transferring the workpiece from the first robot directly to a second robot without transferring the workpiece to a transfer station, placing the workpiece on a workpiece holder at a processing station with the second robot, and transferring the workpiece from the workpiece holder to the first cassette with the first robot following processing. End effectors of the first and second robots may each have a plurality of vertical positions for efficient workpiece handling. Displacement error and rotational error of the workpiece may be sensed and corrected without use of a transfer station. The methods and apparatus may be used for handling semiconductor wafers.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: June 8, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Grant Kenji Larsen
  • Patent number: 6723174
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay: A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: April 20, 2004
    Assignee: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Patent number: 6721626
    Abstract: A central position of a wafer 10 set on a place table 41 is calculated, a turn angle of the place table 41 when the wafer 10 is in the predetermined orientation is calculated based on the calculation result of the central position and positional information of an ID mark 11 received in advance and the quantity of expanding and contracting a transfer arm 30 and the turn angle of a turntable 39 are calculated for setting the wafer 10 such that the ID mark 11 is in the predetermined position and orientation to an OCR 43, and the turn angle of the place table 41 calculated based on the turn angle of the turntable 39 is corrected, the place table 41 is turned only by the corrected turn angle and the wafer 10 is turned and transferred to the OCR 43 by the transfer unit 3.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: April 13, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masaru Tomita, Shuji Akiyama
  • Patent number: 6717171
    Abstract: An apparatus and method for handling microelectronic workpieces initially positioned in a container. The container can be changeable from a first configuration where the microelectronic workpiece is generally inaccessible within the container to a second configuration where the microelectronic workpiece is accessible for removal from the container. The apparatus can include a container access device positionable proximate to an aperture of an enclosure that at least partially encloses a region for handling a microelectronic workpiece. The container access device can be movably positioned proximate to the aperture to change the configuration of the container from the first configuration to the second configuration. A container support can be positioned proximate to the aperture and can be configured to move the container to a fixed, stationary position relative to the aperture when the container is in the second configuration.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: April 6, 2004
    Assignee: Semitool, Inc.
    Inventors: Randy Harris, Kyle M. Hanson, Daniel P. Bexten
  • Patent number: 6709218
    Abstract: The present invention generally provides a robot blade which provides a plurality of semi-conductive or conductive contacts disposed at least partially on the surface of the blade to support a substrate above the blade. The contacts are preferably located inwardly from the edge of the blade and toward the center of the blade to provide a collection area on the blade to capture any particles which may form. The blade is preferably made of a semi-conductive material, such as alumina or other semi-conductive material, to provide an electrical flow path through the contact(s) to discharge any electrical charge which may build up on the substrate during processing.
    Type: Grant
    Filed: June 3, 1999
    Date of Patent: March 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Frederik W. Freerks, Tetsuya Ishikawa, Timothy Y. Wang, Jeffrey C. Hudgens, James R. Ciulik, Mohsen Salek, Tim Leong, Al DiFrancesco
  • Publication number: 20040052624
    Abstract: In an automated guided vehicle including a transfer equipment which transfers a wafer, a buffer cassette which temporarily stores the wafer, and a pressing member which is provided at an opening of the buffer cassette, when traveling the automated guided vehicle, if the pressing member is slid to a center position from an end position of the opening of the buffer cassette to contact and press against the edge of the wafer, the pressing member was easily damaged by being rubbed against a periphery of the wafer, and there was a problem in durability of the pressing member.
    Type: Application
    Filed: May 16, 2003
    Publication date: March 18, 2004
    Inventors: Ken Miyano, Takahiko Murayama, Takashi Nakao, Shuji Akiyama
  • Patent number: 6707528
    Abstract: An exposure apparatus is made so as to have respective chambers in which a main exposure system, a substrate carrying system, and a mask carrying system are housed. The apparatus is structured so that the respective environments in the chambers are substantially independently maintained from each other. Substrate processing can be facilitated by incorporating photoelectric detection of the substrate center in association with handing-over of the substrate from one substrate carrying member to another, and/or storage of a cleaning substrate in a storage member which also holds substrates being processed by the apparatus.
    Type: Grant
    Filed: July 6, 1999
    Date of Patent: March 16, 2004
    Assignee: Nikon Corporation
    Inventors: Masaaki Aoyama, Hiroyasu Fujita
  • Patent number: 6705828
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: March 16, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6702865
    Abstract: An alignment processing mechanism 10 according to the present invention includes: a conveying mechanism 11 for conveying a substrate W to be processed, an alignment mechanism 12 for aligning the substrate W conveyed by the conveying mechanism 11 to a predetermined direction, and a buffer mechanism 13 for relaying the substrate W from the conveying mechanism 11 to the alignment mechanism 12. The buffer mechanism 13 is adapted to temporarily hold the substrate W conveyed by the conveying mechanism 11, and to pass the temporarily holding substrate W to the alignment mechanism 12 based on a state of the alignment mechanism 12. According to the present invention, the alignment mechanism 12 can be used with greater efficiency in order to achieve a high speed of an alignment process.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: March 9, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Ozawa, Masaki Narushima