Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 8485771
    Abstract: In a load port apparatus, a door driving mechanism that supports a door through a communication opening portion leading to a mini-environment is housed in a housing chamber that is in communication with the mini-environment through the communication opening portion. Exhaust opening portions are provided in the upper portion of a wall of the housing chamber that is opposed to the communication opening portion and a bottom wall of the housing chamber. Thus, gas passages from the mini-environment to the housing chamber and then to the external space are formed. Thus, dust in the load port apparatus is removed.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: July 16, 2013
    Assignee: TDK Corporation
    Inventors: Mutsuo Sasaki, Hitoshi Suzuki, Koichiro Oikawa
  • Patent number: 8480346
    Abstract: The goal of the present invention is to provide an apparatus capable of cooperation with at least one piece of wafer processing equipment. The apparatus is connected to a first equipment via a first opening, to a wafer transport case via a second opening and to a second piece of equipment via a third opening. The apparatus is capable of removing a basket of parallel stacked trays of wafers from a wafer transport case. The apparatus is capable of placing and supporting the wafers in order to move them to the first equipment through the second opening and to the second piece of equipment through the third opening.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: July 9, 2013
    Assignee: Alcatel-Lucent
    Inventors: Erwan Godol, Emmanuelle Veran
  • Patent number: 8462008
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8462009
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8459922
    Abstract: A substrate processing system including a housing for housing at least part of a processing device, at least one target affixed to the processing device, the processing device having a first processing device reference point in a known relationship with the at least one target, at least one transmitter located within the housing and configured to transmit an identification signal identifying the at least one transmitter to the at least one target and a controller operably connected to the at least one target and the at least one transmitter, the controller being configured to receive data signals, based on the identification signal, from one of the at least one target and the at least one transmitter and control an operational characteristic of the processing device, based on the data signals.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: June 11, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Martin Hosek
  • Publication number: 20130142594
    Abstract: An apparatus comprises a process chamber, and a loadlock connected to the process chamber. The loadlock is configured to have a wafer holder disposed therein. The wafer holder is configured to store a plurality of wafers, and is configured to transport the plurality of wafers away from the loadlock.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Ming-Jung Chen, Tsu-Yang Chung, Chi-Yun Tseng, Jui-Ping Chuang
  • Patent number: 8454293
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, a fluidic magazine door drive for removing a door of a substrate magazine and thus opening the substrate magazine and for operating the aperture closure to open the aperture, and sensor for mapping vertical locations of substrates mounted to the magazine door of the drive. The fluidic magazine door drive may include an encoder different from the sensor, the encoder being configured for determining the vertical location of the sensor.
    Type: Grant
    Filed: March 15, 2010
    Date of Patent: June 4, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter F. Van der Meulen
  • Patent number: 8444364
    Abstract: An apparatus and method for concurrent processing of several substrates. The system employs a novel architecture which, while being linear, may autonomously sequence processing and move substrates in different directions as necessary. The system moves several substrates concurrently; however, unlike the prior art it does not utilize trays.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: May 21, 2013
    Assignee: Orbotech LT Solar, LLC.
    Inventors: Wendell Thomas Blonigan, Masato Toshima, Kam S. Law, David Eric Berkstresser, Steve Kleinke, Craig Lyle Stevens
  • Patent number: 8439623
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: May 14, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8425172
    Abstract: A reticle manipulating device comprising a housing capable of having a controlled environment wherein at least one processing module is connected to the housing and capable of processing a reticle. A transport apparatus is connected to the housing for transporting the reticle between the at least one module to another portion of the housing. At least one module is removably connectable to the housing and at least one module has an interface adapted for removably coupling the module to the housing. One module being selectable for connection to the housing from a number of different interchangeable module each having a different predetermined characteristic and being capable of connection to the housing.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: April 23, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Jakob Blattner, Rudy Federici, William Fosnight, Clint Harris
  • Patent number: 8419341
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 16, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Gee Sun Hoey, Terry Bluck, Hoang Huy Vu, Jimin Ryu
  • Patent number: 8408158
    Abstract: A coating/developing device includes a processing block having a plurality of coating unit blocks stacked and a developing unit block stacked on the coating unit blocks. Each of the unit blocks is provided with a liquid processing unit for coating a liquid chemical on a substrate, a heating unit for heating the substrate, a cooling unit for cooling the substrate and a transfer unit for transferring the substrate between the units. The liquid processing unit is provided with a coating unit for coating a resist liquid on the substrate, a first bottom antireflection coating (BARC) forming unit for coating a liquid chemical for a BARC on the substrate before the resist liquid is coated thereon, and a second BARC forming unit for coating a liquid chemical for the BARC on the substrate after the resist liquid is coated thereon.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Yasushi Hayashida, Nobuaki Matsuoka, Yoshio Kimura, Issei Ueda, Hikaru Ito
  • Patent number: 8403613
    Abstract: A bypass thermal adjuster, which may be placed between two robots, provides a chamber for isolation and thermal control of wafers while permitting other wafers to be passed through the adjuster by the robots.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: March 26, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8398355
    Abstract: A substrate processing apparatus includes a transport chamber capable of holding an isolated atmosphere therein and communicably connected to a charging station for loading and unloading a substrate into the apparatus, a transport system inside the transport chamber for transporting the substrate and an array of processing chamber modules distributed alongside the transport chamber and communicably connected to the transport chamber to allow the substrate to be transferred therebetween.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: March 19, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: William Holtkamp, Izya Kremerman, Christopher Hofmeister, Richard Pickreign
  • Patent number: 8371792
    Abstract: A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: February 12, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8366370
    Abstract: A vacuum processing apparatus includes a transfer container for transferring a wafer in the internal space thereof reduced in pressure, a vacuum vessel coupled to the side wall of the vacuum vessel and including a processing chamber having a sample stage therein on which a wafer to be processed is mounted, a lid member opened or closed by rotation above the vacuum vessel, an inner chamber member arranged in the vacuum vessel and making up the inner wall of the processing chamber, and a jig coupled to the side wall of the vacuum vessel to lift and hold the inner chamber member by being coupled thereto. The jig includes a first joint portion having vertical and horizontal shafts, an extensible arm portion rotatable around each shaft of the first joint portion, and a second joint portion with the inner chamber member adapted to rotate around the horizontal axis thereof.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: February 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tsutomu Nakamura, Hidenobu Tanimura, Yuya Mizobe
  • Patent number: 8357588
    Abstract: A workpiece machining method includes attaching a workpiece to a workpiece support with the aid of joining means. The workpiece and the workpiece support are joined to one another by an annular joining means. The composite produced is machined. The machined workpiece is separated from the workpiece support.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: January 22, 2013
    Assignee: Infineon Technologies AG
    Inventors: Stephen Bradl, Walther Grommes, Werner Kröninger, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 8354001
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: January 15, 2013
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 8347915
    Abstract: A method of exhausting a gas in a chamber of a load-lock system having a first valve defining an opening for supplying a gas and a second valve defining an opening for conveyance of an article. The method includes a gas supplying step for supplying a gas heated by a heater into the chamber through the first valve, while the first valve and the second valve are kept open, a conveying step for conveying the article into the chamber while the second valve is kept open, and an exhausting step to be carried out after the gas supplying step and the conveying step are executed and the first and second valves are closed, to exhaust the gas inside the chamber while the first valve and the second valve are kept closed.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: January 8, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazuyuki Kasumi
  • Patent number: 8348583
    Abstract: A loader is provided, which is disposed in a low cleanliness room along a border between the low cleanliness room and a high cleanliness room, for transporting a dust free article between an inside of a container receiving the dust free article and the high cleanliness room, comprising a movable stage for mounting the container; an opening portion through which the dust free article is transported between the container and the high cleanliness room; a door for opening and closing the opening portion; a unifying means for unifying a cover of the container and the door when the container approaches the door; and a driving apparatus for moving the cover and the door unified within the loader to open and close the opening portion and the container.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: January 8, 2013
    Assignee: Rorze Corporation
    Inventors: Toshiaki Fujii, Osamu Horita, Koji Ohyama, Toshiya Nakayama, Fumio Sakiya, Mineo Kinpara
  • Patent number: 8352074
    Abstract: A path planning apparatus and method of a robot, in which a path, along which the robot accesses an object to grasp the object, is planned. The path planning method includes judging whether or not a robot hand of a robot collides with an obstacle when the robot hand moves along one access path candidate selected from plural access path candidates along which the robot hand accesses an object to grasp the object, calculating an access score of the selected access path candidate when the robot hand does not collide with the obstacle, and determining an access path plan using the access score of the selected access path candidate.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: January 8, 2013
    Assignee: Samsung Electronics
    Inventors: Guochunxu, Kyung Shik Roh, San Lim, Bok Man Lim, Myung Hee Kim
  • Patent number: 8328940
    Abstract: In one embodiment, a transfer robot for transferring a substrate includes a supporting means, a transfer robot arm including a first sub-robot arm and a second sub-robot arm arranged over the supporting means, an inner rail and an outer rail adjacent to the inner rail overlying the supporting means. The first sub-robot arm is adapted to move in a straight line motion along the inner rail and the second sub-robot arm is adapted to move in a straight line motion along the outer rail. The second sub-robot arm surrounds the first sub-robot arm.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: December 11, 2012
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jae-Wook Choi, Young-Rok Kim
  • Patent number: 8328946
    Abstract: A conveyor assembly for conveying substrates through a vapor deposition system includes a first carriage rail and a second carriage rail disposed at an opposite side of the conveyor assembly. The first and second carriage rails include a plurality of roller positions spaced longitudinally therealong. The carriage rails further include a pair of wheels at each of the roller positions, with the wheels spaced apart so as to define a cradle at the respective roller position. At least one of the wheels at each roller position on is drive wheel. A plurality of rollers extend between the first and second carriage rails. The rollers have ends that drop into the cradles at the roller positions such that the rollers are removable from the carriage rails by being lifted out of the cradles at the roller positions.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: December 11, 2012
    Assignee: PrimeStar Solar, Inc.
    Inventors: Edwin Jackson Little, Christopher Rathweg
  • Patent number: 8328494
    Abstract: A vacuum assembly used for warming processed substrates above the dew point to prevent unwanted moisture on the processed substrate surfaces as well as reducing negative impact on manufacturing throughput. The vacuum assembly includes a processing chamber, a substrate handling robot, and a heater which may be an optical heater. The processing chamber is configured to cryogenically process one or more substrates. The transfer chamber is connected to the processing chamber and houses the substrate handling robot. The substrate handling robot is configured to displace one or more substrates from the processing chamber to the transfer chamber. The heater is connected to the transfer chamber above the substrate handling robot such that the heater emits energy incident on the substrate when the substrate handling robot displaces the substrate in the transfer chamber.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: December 11, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Roger B. Fish, Jeffrey E. Krampert
  • Patent number: 8317923
    Abstract: Protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. In a Damascene interconnect, PSAB layer typically resides at an interface between the metal layer and a dielectric diffusion barrier layer. PSAB layers promote improved adhesion between a metal layer and an adjacent dielectric diffusion barrier layer. Further, PSAB layers can protect metal surfaces from inadvertent oxidation during fabrication process. A PSAB layer may be formed entirely within the top portion of a metal layer, by, for example, chemically converting metal surface to a thin layer of metal silicide. Thickness of PSAB layers, and, consequently resistance of interconnects can be controlled by partially passivating metal surface prior to formation of PSAB layer. Such passivation can be accomplished by controllably treating metal surface with a nitrogen-containing compound to convert metal to metal nitride.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: November 27, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Kaushik Chattopadhyay, Bart van Schravendijk, Yongsik Yu, Mandyam Sriram
  • Patent number: 8313277
    Abstract: A variety of process modules are described for use in semiconductor manufacturing processes.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: November 20, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8303232
    Abstract: There are disposed two second substrate transferring apparatuses 60a and 60b, which are configured to transfer substrates W between substrate processing units 40 and a substrate accommodating part 30, in the up and down direction so as to correspond to the respective groups to which the plurality of substrate processing units 40 are divided. In addition, there is disposed a substrate displacing apparatus 35 that is configured to displace a substrate W, which has been transferred by a first substrate transferring apparatus 50 to the substrate accommodating part 30 and is not yet processed by the wafer processing unit 40, to another position in the up and down direction in the substrate accommodating part 30, as well as displace a substrate W, which has been processed by the wafer processing unit 40a and transferred by the second substrate transferring apparatus 60a to the substrate accommodating part 30, to another position in the up and down direction in the substrate accommodating part 30.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Seiki Ishida
  • Patent number: 8297319
    Abstract: A method for pressurizing a substrate carrier including pressurizing a chamber that is of unitary construction with the carrier and/or a substrate cassette within the carrier and maintaining a pressure within the carrier by releasing gas from the chamber into the carrier.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: October 30, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel Babbs, William Fosnight
  • Patent number: 8292563
    Abstract: A sorter for handling and sorting semiconductor wafers is provided. The sorter has a housing, a handling and transport device and at least one nonproduction wafer buffer module. The housing has at least one holding area for interfacing one or more semiconductor wafer carriers to the housing. The housing defines an access path along which semiconductor wafers are transported between the housing and the one or more semiconductor wafer carriers. The handling and transport device is connected to the housing for transporting semiconductor wafers along the access path. The at least one nonproduction wafer buffer module is connected to the housing. The at least one nonproduction wafer buffer module buffers nonproduction wafers sorted by the sorter to one or more semiconductor wafer carriers.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: October 23, 2012
    Assignee: Brooks Automation, Inc.
    Inventor: Clinton M. Haris
  • Patent number: 8272826
    Abstract: A substrate processing apparatus includes first and second transfer chambers, first and second load lock chambers for exchanging one or more substrates with respective ones of first and the second transfer chambers, and a substrate transfer unit, located between the first and second load lock chambers, for transferring the one or more substrates to the first and second load lock chambers.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: September 25, 2012
    Assignee: Advanced Display Process Engineering Co., Ltd
    Inventors: Cheol Rae Jo, Jang-Wan Park, Won Ki Jeong
  • Patent number: 8261905
    Abstract: A drive rail includes a sealed interior cavity and an exterior drive surface that extends along a length of the drive rail. A first magnetic member is disposed within the interior cavity and adjacent to a surface of the interior cavity that is immediately opposite the exterior drive surface. A drive mechanism is disposed within the interior cavity and in connection with the first magnetic member, and is configured to move the first magnetic member within the interior cavity along the length of the drive rail, such that the first magnetic member remains immediately opposite the exterior drive surface. The first magnetic member is configured to magnetically couple through the exterior drive surface to a wafer carrier disposed adjacent to the exterior drive surface. Movement of the first magnetic member within the interior cavity along the drive rail causes corresponding movement of the wafer carrier along the exterior drive surface.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: September 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Arnold Kholodenko, Anwar Husain, George Khait
  • Patent number: 8257013
    Abstract: The present invention provides a substrate treatment apparatus which sets substrate loading intervals to treatment chambers to a fixed value and prevents the occurrence of stagnancy of substrates in the treatment chambers. The substrate treatment apparatus includes a substrate conveyance chamber 5 which has a substrate conveyance device 11, a plurality of treatment chambers 6 to 9 in which a treatment time of at least one treatment chamber differs from treatment times of other treatment chambers and the respective treatment chambers are communicated with the conveyance chamber, and a control part 12 which controls a conveyance operation of the substrate conveyance device by setting treatment schedules of the substrates.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: September 4, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Patent number: 8246284
    Abstract: An apparatus with a plurality of load-lock chambers stacked having independently controlled pressures within their interior regions is provided. According to one or more embodiments, each load-lock chamber includes a pump valve connected to a pump line and a vent valve connected to a vent line to independently control the changes of pressure within the interior regions of the chambers. Methods for conveying substrates held within these chambers from the apparatus to one or more processing chambers, which may be in-line, are also provided.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: August 21, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Peter G. Borden
  • Patent number: 8231731
    Abstract: A process for producing a semiconductor device, comprising the steps of conducting film formation on substrate (10) in reactor (1); and unloading the substrate (10) after film formation from the reactor (1) and thereafter effecting forced air cooling of the interior of the reactor (1) while the substrate (10) is absent in the reactor (1). The stress of deposited film adhering in the reactor (1) is increased over that exhibited at air cooling without blower so as to positively generate thermal stress with the result that the deposited film would undergo forced cracking over that exhibited at air cooling without blower. Microparticles scattered by the cracking are efficiently discharged from the reactor forcibly through purging in the reactor in the state of atmospheric pressure.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: July 31, 2012
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Kenichi Suzaki, Jie Wang
  • Patent number: 8231322
    Abstract: A load lock is provided for a semiconductor substrate processing system having a transport robot mounted therein. The load lock transport supplies substrates directly to a processing chamber without the need for a central transport robot. The load lock transport is a dual element robot designed for minimum clearance and space and operates within a matching load lock chamber of minimum volume.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: July 31, 2012
    Assignee: Brooks Automation, Inc.
    Inventor: Mark A. Talmer
  • Patent number: 8215890
    Abstract: A method and system for aligning robotic wafer transfer systems provides a wafer cassette having one or more wafer slots having portions covered with an electrically conductive material and a sensor that is in electrical communication with the electrically conductive material. When a wafer is loaded into a wafer cassette such as may be contained within a wafer transfer module such as a FOUP, an indication of position is delivered to the sensor which detects the alignment and indicates if the loaded wafer undesirably contacts either or both of the opposed grooves that form the wafer slot of the wafer cassette. An indication of the wafer's position may be provided from the sensor to a controller that delivers a signal for aligning the wafer transfer blade of the wafer transfer robot responsive to the signal indicative of position.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Shu Tseng, Yi-Chang Sung, Chia-Chi Tsao, Chih-Che Lin
  • Patent number: 8206551
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: June 26, 2012
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 8205352
    Abstract: Embodiments of the present invention generally relate to an apparatus and methods for rinsing and drying substrates. One embodiment provides an end effector comprising a body having a contact tip for contacting an edge area of a substrate, wherein the end effector is configured to support the substrate while the substrate is in a rinsing bath and while the substrate is being dried from the rinsing bath, and the contact tip comprises a hydrophilic material.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: June 26, 2012
    Assignee: Applied Materials, Inc.
    Inventors: John S. Lewis, Michael Biese, Garrett H. Sin, Chidambara A. Ramalingam, Balaji Chandrasekaran, Tak Fan (Kerry) Ling
  • Patent number: 8206076
    Abstract: A cassette waiting block is connected to a transfer in/out block of a coating and developing treatment system, and in the cassette waiting block, a cassette transfer in/out unit, a cassette waiting unit, a cassette delivery unit, and a substrate processing unit are provided. In the cassette waiting block, a cassette transfer unit for transferring the cassette between the cassette transfer in/out unit, the cassette waiting unit, and the cassette deliver unit, and a transfer unit for transferring the substrate between the cassette in the cassette waiting unit and the substrate processing unit are provided. Each cassette waiting unit has an opening mechanism for opening a port of the cassette.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: June 26, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Yasushi Hayashida, Akira Miyata, Kensei Yamamoto, Yuichi Yamamoto, Michiaki Matsushita
  • Patent number: 8197177
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: June 12, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Patent number: 8192132
    Abstract: In a transfer chamber having a housing with a transfer region and a passing band region, a transport device comprising a first arrangement of transport rollers is arranged in the transfer region of the housing, and a passing band comprising a second arrangement of transport rollers is arranged in the passing band region of the housing. The passing band region of the housing is subdivided by a horizontal wall, which is arranged above the passing band, into a transport space, which is located below the horizontal wall, and a pump space, which is located above the horizontal wall. The pump space has a vacuum port or a vacuum pump connected to it.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: June 5, 2012
    Assignee: VON ARDENNE Anlagentechnik GmbH
    Inventors: Jochen Krause, Michael Hofmann
  • Patent number: 8187923
    Abstract: A laser release and glass chip removal process for a integrated circuit module avoiding carrier edge cracking is provided.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: May 29, 2012
    Assignee: International Business Machines Corporation
    Inventors: Paul Stephen Andry, Leena Paivikki Buchwalter, Matthew J. Farinelli, Sherif A. Goma, Raymond R. Horton, Edmund J. Sprogis
  • Patent number: 8177048
    Abstract: A vacuum processing apparatus includes a vacuum chamber capable of keeping a first pressure lower than an atmospheric pressure, a driving source disposed in the vacuum chamber, an electric power supply mechanism including a primary side mechanism disposed outside the vacuum chamber for supplying electric power to the driving source and a secondary side mechanism disposed in the vacuum chamber for receiving the electric power from the primary side mechanism in a contactless relationship, and a vessel capable of accommodating airtightly the secondary side mechanism under a second pressure higher than the first pressure.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: May 15, 2012
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Yushi Sato, Toshio Miki, Yosuke Muraguchi, Katsumi Yasuda, Kazunari Kitaji, Yasushi Muragishi, Minoru Maeda
  • Patent number: 8171964
    Abstract: The invention provides a purge apparatus that purges the gas in the interior of a FOUP with a high purging efficiency and an apparatus for opening/closing the lid of a FOUP for use in the purge apparatus. The purge apparatus has a cabinet having an opening portion through with the lid of the FOUP can pass, a door that can close the opening portion and hold the lid, and a gas supply nozzle provide in the cabinet. In this apparatus, a seal member is provided in such a way as to surround an element that is provided on the surface of the door and adapted to actuate a latch mechanism of the lid. The seal member spatially separates the element that is adapted to actuate the latch mechanism from the external space in a state in which the door is holding the lid.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: May 8, 2012
    Assignee: TDK Corporation
    Inventor: Tsutomu Okabe
  • Patent number: 8172949
    Abstract: A computer readable storage medium storing a program for performing an operation method of a substrate processing apparatus is provided. The operation method includes the steps of introducing a nonreactive gas into the vacuum preparation chamber before the gate valve is opened while the substrate is transferred between the vacuum preparation chamber of the vacuum processing unit and the transfer unit, stopping introducing the nonreactive gas when an inner pressure of the vacuum preparation chamber becomes same as an atmospheric pressure, starting an evacuation process of the corrosive gas in the vacuum preparation chamber and then opening to atmosphere performed by letting the vacuum preparation chamber communicate with an atmosphere, and opening the gate valve after the step of opening to atmosphere.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Kudo, Jun Ozawa, Hiroshi Nakamura, Kazunori Kazama, Tsuyoshi Moriya, Hiroyuki Nakayama, Hiroshi Nagaike
  • Patent number: 8147924
    Abstract: An apparatus for manufacturing a magnetic recording disk includes a magnetic-film deposition chamber in which a magnetic film for a recording layer is deposited on a substrate; a lubricant-layer preparation chamber in which a lubricant layer is prepared on the substrate in vacuum; and a cleaning chamber in which the substrate is cleaned in vacuum after the magnetic-film deposition in the magnetic-film chamber and before the lubricant-layer preparation in the lubricant-layer chamber. The apparatus may further include a transfer system that transfers the substrate from the cleaning chamber to the lubricant-layer preparation chamber without exposing the substrate to the atmosphere.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: April 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Nobuyoshi Watanabe, Kazunori Tani, Shinji Furukawa, Hiromi Sasaki, Osamu Watabe
  • Patent number: 8132996
    Abstract: A substrate-treating apparatus includes: a plurality of modules disposed along a first direction, each of the plurality of modules having an inner space for containing a substrate; a transfer unit transferring the substrate among the plurality of modules, the transfer unit including at least one track disposed along the first direction and at least one movable transfer chamber moving along the at least one track; and a gate valve fixed to each of the plurality of modules and combined with the at least one movable transfer chamber. The at least one movable transfer chamber is isolated from an exterior atmosphere while moving.
    Type: Grant
    Filed: June 6, 2010
    Date of Patent: March 13, 2012
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Klaus Hügler
  • Patent number: 8118535
    Abstract: Methods, systems and apparatus for swapping pods within a semiconductor processing tool during a substrate processing cycle. A dirty pod carrying a substrate in need of processing is provided within the processing tool, and the substrate is transferred into a processing chamber thereof. At least one clean pod is also provided within the processing tool. Upon substrate processing completion, the processed substrate is transferred from the chamber directly into a clean pod within the processing tool. Wherein a plurality of substrates are processed within the chamber, a plurality of clean pods may be provided within the tool whereby the plurality of substrates are transferred into a single clean pod or split into subsets that are transferred into different clean pods within the processing tool. The clean pod(s) carrying the processed substrate(s) are then transferred to other tool(s) for continued semiconductor fabrication processing.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: February 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gifford, Edward Sherwood
  • Patent number: 8104770
    Abstract: A process chamber 10 comprising a container (12), a lid (14), and a sealed interface (16) therebetween. The container's interface surface (30) and/or the lid's interface surface (32) includes at least one groove (36) in which a seal (40) is situated. The seal (40) comprises an elastomeric element (50) and a metallic element (60). The elastomeric element (50) and the metallic element (60) can be arranged and adapted to seal the chamber's interface (16) sequentially during its conversion to a sealed condition. And/or the elastomeric element (50) and the metallic element (60) can be arranged and adapted to seal the chamber's interface in series once the lid (14) is in its sealed condition.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: January 31, 2012
    Assignee: Parker-Hannifin Corporation
    Inventors: Amitava Datta, Peter G. Amos, Dominick G. More, Kenneth W. Cornett, Jeremy Payne
  • Patent number: 8097084
    Abstract: A vacuum chamber system for semiconductor processing includes at least two evacuable vacuum chambers for receiving semiconductor elements to be processed, each including a vacuum chamber opening and a vacuum chamber sealing surface, and transfer aspects by which one of the vacuum chambers can be moved relative to another of the vacuum chambers and can be docked with it in a vacuum-tight manner by producing substantially parallel opposite positions of the vacuum chamber sealing surfaces which are subject to possible misalignments. At least one of the vacuum chambers has support aspects which support one vacuum chamber on the other vacuum chamber in the evacuated, docked state.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: January 17, 2012
    Assignee: Vat Holding AG
    Inventors: Friedrich Geiser, Rene Brulc