Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 7232286
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: June 19, 2007
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 7232284
    Abstract: The invention relates to a device for loading substrates into and unloading them from a clean room, comprising a lock device onto which a transport box for receiving the substrates can be placed and which is fitted with a hermetically sealing lock opening, and a process unit adjacent to the lock opening. To allow for a valid adjustment of the lock device in relation to the process unit even when the lock device is exchanged, the invention provides for an adapter device to be positioned between the process unit and the lock device to which the lock device can be removably fixed and which is maintained at the level of the process unit and can be adjustably aligned in relation to same.
    Type: Grant
    Filed: February 11, 1999
    Date of Patent: June 19, 2007
    Assignee: Brooks Automation, Inc.
    Inventors: Wolfgang Schmutz, Josef Gentischer
  • Patent number: 7226512
    Abstract: A substrate is transferred from an environment at about vacuum into a load lock through a first door. The substrate is then sealed within the load lock. The pressure within the load lock is raised to a high pressure above vacuum. A second door coupling the load lock to a high-pressure processing chamber is then opened and the substrate moved from the load lock into the high-pressure chamber. The substrate is then sealed within the high-pressure chamber. High-pressure processing, such as high pressure cleaning or high pressure deposition, is then performed on the substrate within the high-pressure chamber. Subsequently, the second door is opened and the substrate transferred into the load lock. The substrate is then sealed within the load lock. The pressure within the load lock is lowered to about vacuum and the first door opened. The substrate is then removed from the load lock into the environment.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: June 5, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Michael A. Fury, Robert W. Sherrill
  • Patent number: 7217076
    Abstract: The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: May 15, 2007
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7214274
    Abstract: A dual chamber apparatus including a first chamber and a second chamber which is configured to be coupled to the first chamber at an interface. Each of the first chamber and the second chamber has a transfer opening located at the interface. An insulating plate is located on one of the first chamber and the second chamber at the interface and is configured to have a low thermal conductivity such that the first chamber and the second chamber can be independently controlled at different temperatures when the first chamber and the second chamber are coupled together. Additionally, the apparatus may include an alignment device and/or a fastening device for fastening the first chamber to the second chamber. In embodiments, the insulating plate may be constructed of Teflon. Further, the first chamber may be a chemical oxide removal treatment chamber and the second chamber may be a heat treatment chamber.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: May 8, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Jay Wallace, Thomas Hamelin
  • Patent number: 7214027
    Abstract: Systems and methods for handling wafers include retrieving a first wafer from a wafer cassette using a first arm, transferring the first wafer from the first transfer arm to a second arm, delivering the first wafer for processing to a process chamber using the second arm, removing the first wafer from the process chamber using the first arm, and returning the first wafer to the cassette using the first arm. The systems and methods can include retrieving a first wafer from a wafer cassette using a first arm, delivering the first wafer for processing to a process chamber using the first arm, removing a processed wafer from the process chamber using a second arm, returning the processed wafer to the cassette using the second arm, and iteratively retrieving, delivering, removing and returning wafers from the cassette while alternating arms between iterations.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: May 8, 2007
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Stanley W. Stone
  • Patent number: 7207763
    Abstract: A semiconductor manufacturing system and wafer holder for a semiconductor manufacturing system which prevents a semiconductor wafer from being exposed to a process reaction and which includes a reaction tube for providing a sealed process space and a dual boat and which prevents the backside deposition by the wafer holder. The wafer holder includes a holder body to hide the backside of the semiconductor wafer during a process in the reaction tube and a wafer lifter having a portion that can be disengaged from and coupled to the holder body so that a lower portion of the semiconductor wafer is supported by the dual boat and so that the semiconductor wafer can be lifted up from the wafer body when the semiconductor wafer is loaded and unloaded. A separation boundary between the holder body and the wafer lifter includes a gas inflow interception surface to hinder reaction gas from flowing through the separation boundary.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: April 24, 2007
    Assignee: Terasemicon Co., Ltd
    Inventor: Byung-Il Lee
  • Patent number: 7207766
    Abstract: A load lock chamber and method for transferring large area substrates is provided. In one embodiment, a load lock chamber suitable for transferring large area substrates includes a plurality of vertically stacked single substrate transfer chambers. The configuration of vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Yoshiaki Tanase
  • Patent number: 7208047
    Abstract: An apparatus through which a substrate may be transferred between a first chamber and a second chamber in which the first chamber is maintained at a high temperature relative to the ambient temperature of the second chamber. The apparatus comprises a passageway for receiving the substrate and a thermally isolating interface. The thermally isolating interface reduces heat transfer from the first chamber to the second chamber and allows for transfer of the substrate between the apparatus and the second chamber. The thermally isolating interface includes a hole having dimensions such that the substrate is transferrable through the thermally isolating interface.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Emanuel Beer, Kenneth E. Baumel
  • Patent number: 7201551
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: April 10, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 7201823
    Abstract: A method for the plasma treatment of parts. The method includes sending loading signals from an electronic control to a transfer mechanism and loading the parts from a position outside of the treatment chamber to a plurality of treatment positions within the treatment chamber based on the loading signals. A plasma is generated within the treatment chamber to treat the parts. After treatment, unloading signals are sent from the electronic control to the transfer mechanism and the parts are unloaded from the treatment chamber based on the unloading signals. Each of the parts may be guided to a corresponding one of the treatment positions during loading.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: April 10, 2007
    Assignee: Nordson Corporation
    Inventors: Louis A. Rigali, David E. Hoffman, Keda Wang, William F. Smith, III
  • Patent number: 7198448
    Abstract: A vacuum process system comprises: a load port on which an object to be processed is set; a common transfer chamber disposed adjacent to the load port, having an internal space set at an atmospheric pressure level, and including a first transfer device that is movable and transfers the object into/from the load port, the first transfer device being disposed within the internal space; and a process unit having one process chamber for subjecting the object to a predetermined process, and a vacuum transfer chamber connected to the process chamber, having an internal space set at a vacuum pressure level, and including a second transfer device for transferring the object into/from the process chamber, the second transfer device being disposed within the internal space. The process units are individually connected to the common transfer chamber such that the process units are substantially parallel to each other. The vacuum chamber of each process unit is connected to the common transfer chamber.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: April 3, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Jun Hirose, Masaki Narushima
  • Patent number: 7198447
    Abstract: A semiconductor device producing apparatus is disclosed. The apparatus includes a carrier-holding stage for placing a carrier; first, second and third stages each for holding first and second boats one at a time, each boat holding one or more substrates; a boat transfer mechanism for transferring the boats among the first, second and third stages; and a substrate transfer mechanism for transferring the substrate(s) from the carrier to the boat held by the first stage. A controller controls the first stage, the boat transfer mechanism and the substrate transfer mechanism so that the boat transfer mechanism transfers one of the boats from the second stage to the first stage, the substrate transfer mechanism then transfers the substrate(s) from the carrier to the boat held by the first stage, and the first stage then moves the boat into the processing chamber for processing.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: April 3, 2007
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuhiro Morimitsu, Tatsuhisa Matsunaga, Masanori Kaneko, Kouichi Noto, Hidehiro Yanagawa, Masaki Matsushima
  • Patent number: 7147719
    Abstract: In a substrate vacuum processing chamber, a second inner slit passage door apparatus and method to supplement the normal slit valve and its door at the outside of the chamber. The inner slit passage door, blocks the slit passage at or adjacent the substrate processing location in a vacuum processing chamber to prevent process byproducts from depositing on the inner surfaces of the slit passage beyond the slit passage door and improves the uniformity of plasma in the processing chamber by eliminating a large cavity adjacent to the substrate processing location into which the plasma would otherwise expand.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: December 12, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Michael D. Welch, Homgqing Shan, Paul E. Luscher, Evans Y. Lee, James D. Carducci, Siamak Salimian
  • Patent number: 7139638
    Abstract: A thermal processing unit is connected to a substrate position detector, which is in turn connected to a bake unit controller. The thermal processing unit includes a temperature control plate and a lifting device. The temperature control plate and lifting device are connected to the bake unit controller. The operations of the temperature control plate and lifting device are controlled by the bake unit controller. A pressure measuring pipe is provided at a lower part of at least one of a plurality of pin inserting holes in the temperature control plate. The pressure measuring pipe is connected to a low differential pressure sensor. The low differential pressure sensor detects the pressure in an airflow exhausted from a space surrounded by a substrate and an upper face of the temperature control plate via the pressure measuring pipe.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: November 21, 2006
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Toshihiro Nakajima, Mitsuhiro Masuda, Yasuhiro Shiba, Yasuhiro Fukumoto
  • Patent number: 7114903
    Abstract: An apparatus and method for handling and/or pre-processing microelectronic workpieces. In one embodiment, the apparatus includes an input/output station configured to removably receive a plurality of microelectronic workpieces at an input/output location, a transfer station, and a first transfer device that moves the microelectronic workpieces directly between the input/output location and the transfer station. A second transfer device moves the microelectronic workpieces directly from the transfer station to at least one process station. The transfer station can include a pre-process station configured to identify and/or align the microelectronic workpieces. The apparatus can further include a storage station configured to support a plurality of microelectronic workpieces and can be positioned to at least partially overlap the pre-process station.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: October 3, 2006
    Assignee: Semitool, Inc.
    Inventors: Randy A. Harris, Kyle M. Hanson
  • Patent number: 7112027
    Abstract: A pod cover removing-installing apparatus can open and close any covers for a variety of pods made by a various manufactures, can satisfy an allowable distortion error capable of being normally operated even if conditions such as temperature, humidity, and can remove and install the cover without causing it to collide with any one of the parts of the pods. The pod cover removing-installing apparatus to remove and install a cover 203 for an opening 202 of a pod 200, of which the inside is kept extremely clean, by causing the cover to engage a door 13 for an opening 12 of a high cleanliness room, while maintaining high cleanliness of the pod and the room by closely attaching the circumference of the two openings. The apparatus is provided with positioning pins 14a, 14b for positioning the cover 13, a fine adjustment mechanism 20 for fine adjustment of the positioning pins 14a, 14b, and a holding mechanism 40 for holding the position of the cover 13 engaging the positioning pins 14a, 14b.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: September 26, 2006
    Assignee: Right Mfg. Co., Ltd.
    Inventor: Tatsuhiko Nagata
  • Patent number: 7089680
    Abstract: A vacuum processing apparatus which includes a means for transferring substrates from a loader, with a transferring device, to a double lock chamber; and, then to a selected vacuum processing chamber. The substrates are returned to a substrate, by the vacuum loader, into their original position in the substrate table. The surfaces of the substrates are maintained in a horizontal position during processing.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: August 15, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 7083161
    Abstract: A gate valve assembly provides vacuum isolation between a first treatment system and a second treatment system. The gate valve assembly includes an actuator rotatably coupled to at least one of the first treatment system and the second treatment system, and configured to operate an actuator assembly that has an actuator arm slidably coupled to the actuator. The actuator arm has a distal end coupled to an isolation gate through a vacuum feed-through, wherein the vacuum feed-through is configured to preserve vacuum with the first treatment system and the second treatment system. The isolation gate is configured to rotate about the gate hinge when the actuator arm is translated, and to close a transfer slot between the first treatment system and the second treatment system, or open the transfer slot between the first treatment system and the second treatment system.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: August 1, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Jay Wallace
  • Patent number: 7076920
    Abstract: A pirani absolute pressure sensor for sensing absolute pressure in a load lock in a range from 100 to 10?4 torr and a differential pressure sensor for sensing a pressure difference between ambient atmospheric pressure and pressure in the load lock chamber are combined together in a module with a manifold and common circuit components to provide a pressure transducer that is capable of producing not only analog output for absolute pressure measurements, but also control signals at settable absolute and differential pressure values for opening interior and exterior doors of a load lock used to shuttle wafers and other devices into and out of a vacuum processing chamber. The transducer can also produce signals to control transition from slow to fast vacuum pumping of the load lock chamber at a settable threshold pressure.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: July 18, 2006
    Assignee: MKS Instruments, Inc.
    Inventors: Garry Holcomb, Youfan Gu, James Stafford, James M. Mueller, Stacy Wade
  • Patent number: 7077173
    Abstract: When atmosphere inside a wafer carrier is replaced by introducing a gas into the wafer carrier from a gas inlet provided to the wafer carrier that can accommodate wafers. At the same time, the atmosphere inside the wafer carrier is sucked to make an inside pressure negative relative to an outside pressure.
    Type: Grant
    Filed: July 10, 2002
    Date of Patent: July 18, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Kenji Tokunaga
  • Patent number: 7070379
    Abstract: A semiconductor fabrication apparatus, located in an apparatus installation area, includes a front-opening unified pod (FOUP) index, a plate, a first transfer device, a second transfer device, and an engineering FOUP index and multiple processing chambers. The FOUP index can be located in a line, vertically, horizontally, on top, and on bottom. The engineering FOUP index is used for manually locating the FOUP thereon in case of processing irregular single wafers that are not stored in a lot. As the FOUP index is located in the semiconductor fabrication apparatus installed in the apparatus installation area, dead space between the apparatus and air eddies generated above and below the FOUP index can be eliminated to increase the efficiency of working area and improve the environment in fabrication.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: July 4, 2006
    Assignee: Samsung Elctronics Co., Ltd.
    Inventor: Ki-Sang Kim
  • Patent number: 7066703
    Abstract: A method and system for transporting a plurality of substrates between a transfer chamber and at least one processing chamber. The system includes a chuck assembly with a plurality of chucks configured to receive wafer substrates, where the chuck assembly is movably configured to provide for transfer of the plurality of substrates between a transfer chamber and a processing chamber. The system provides a structure that allows for the processing of one substrate on a first chuck, while a second substrate is loaded onto a second chuck and prepared for processing.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: June 27, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Wayne L. Johnson
  • Patent number: 7059817
    Abstract: A high-speed wafer-processing apparatus and method that employs a vacuum chamber having at least two wafer transport robots and a process station. The vacuum chamber interfaces with a number of single-wafer load locks that are loaded and unloaded one wafer at a time by a robot in atmosphere. Four load locks are sized to allow for a gentle vacuum cycling of each wafer without significant pumpdown delays. The robots in the vacuum chamber move wafers sequentially from one of the load locks to a process station for processing and then to another one of the load locks for unloading by the atmospheric robot.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: June 13, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: Manny Sieradzki, Nicholas R. White
  • Patent number: 7025554
    Abstract: A vacuum process system comprises: a load port on which an object to be processed is set; a common transfer chamber disposed adjacent to the load port, having an internal space set at an atmospheric pressure level, and including a first transfer device that is movable and transfers the object into/from the load port, the first transfer device being disposed within the internal space; and a process unit having one process chamber for subjecting the object to a predetermined process, and a vacuum transfer chamber connected to the process chamber, having an internal space set at a vacuum pressure level, and including a second transfer device for transferring the object into/from the process chamber, the second transfer device being disposed within the internal space. The process units are individually connected to the common transfer chamber such that the process units are substantially parallel to each other. The vacuum chamber of each process unit is connected to the common transfer chamber.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: April 11, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Jun Hirose, Masaki Narushima
  • Patent number: 7021881
    Abstract: Semiconductor processing equipment that has increased efficiency, throughput, and stability, as well as reduced operating cost, footprint, and faceprint is provided. Other than during deposition, the atmosphere of both the reaction chamber and the transfer chamber are evacuated using the transfer chamber exhaust port, which is located below the surface of the semiconductor wafer. This configuration prevents particles generated during wafer transfer or during deposition from adhering to the surface of the semiconductor wafer. Additionally, by introducing a purge gas into the transfer chamber during deposition, and by using an insulation separating plate 34, the atmospheres of the transfer and reaction chambers can be effectively isolated from each other, thereby preventing deposition on the walls and components of the transfer chamber.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: April 4, 2006
    Assignee: ASM Japan K.K.
    Inventors: Takayuki Yamagishi, Masaei Suwada, Takeshi Watanabe
  • Patent number: 7008879
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: April 27, 2004
    Date of Patent: March 7, 2006
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullins, David A. Wassen
  • Patent number: 7010388
    Abstract: A transfer system for use with a tool for treating a work-piece at sub-atmospheric pressure such as an ion implanter for implanting silicon wafers. An enclosure defines a low pressure region for treatment of work-pieces placed at a work-piece treatment station within the low pressure region. Multiple work-piece isolation load locks transfer work-pieces, one or two at a time, from a higher pressure region to the lower pressure for treatment and back to said higher pressure subsequent to said treatment. A first robot transfers work-pieces within the low pressure region from the load locks to a treatment station within the low pressure region. Multiple other robots positioned outside the low pressure region transfers work-pieces to and from the multiple work-piece isolation load locks from a source of said work-pieces prior to treatment and to a destination of said work-pieces after said treatment.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: March 7, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: Robert J. Mitchell, Allan D. Weed, Richard Gueler
  • Patent number: 7001129
    Abstract: Sealing structure for use in creating a seal between an elevator drive shaft and a loadlock chamber includes a base fastened over a hole in the bottom wall of the loadlock chamber, a fixed member fastened to an upper part of the base, a seal housing spaced above the fixed member, a cap in the form of a flexible bellows having a first end adhered to an upper surface of the fixed member and a second end adhered to a lower surface of the seal housing, one or more support shafts having upper and lower ends pivotally connected to the seal housing and the fixed member outside the cap, a seal seated in the seal housing and having an inner circumferential surface contacting the outer circumferential surface of the elevator drive shaft, and a seal cover fixed to an upper part of the seal housing. According to the present invention, uniform pressure is maintained between the shaft and the seal during assembly and operation of the apparatus.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: February 21, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ho Kim
  • Patent number: 6996453
    Abstract: A substrate processing apparatus for processing substrates prevents the substrates from contaminating as they are transferred. The apparatus includes a container, like a FOUP, for containing substrates, at least one processing chamber where the substrates are processed, a substrate transferring module including a substrate transfer chamber and at least one load port for supporting a container, and a contamination controlling system for the substrate transfer chamber. The contamination controlling system includes a purge gas supply inlet connected to the substrate transfer chamber, and a gas circulating tube for recycling the purging gas to circulate through the chamber. The substrate transfer chamber is purged using the purging gas to remove moisture and contaminating materials from the substrate transfer chamber. The formation of particles on the substrate otherwise caused by a reaction between the moisture and contaminating materials while the substrate is standing by in the container can be prevented.
    Type: Grant
    Filed: October 15, 2003
    Date of Patent: February 7, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yo-Han Ahn, Ki-Doo Kim, Soo-Woong Lee, Jung-Sung Hwang, Hyeog-Ki Kim
  • Patent number: 6979165
    Abstract: The present invention provides tools and methods of processing microelectronic substrates in which the tools maintain high throughput yet have dramatically lower footprint than conventional tools. In preferred aspects, the present invention provides novel tool designs in which multiple tool functions are overlapped in the x, y, and/or z axes of the tool in novel ways.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: December 27, 2005
    Assignee: FSI International, Inc.
    Inventors: Robert E. Larson, Sean D. Simondet, David C. Zimmerman, Todd K. Maciej, Quirin W. Matthys
  • Patent number: 6977014
    Abstract: A semiconductor wafer processing system in accordance with an embodiment of the present invention includes a loading station, a load lock, a process module, an intermediate process module, and a transport module which further includes a load chamber, a transfer chamber, and a pass-through chamber between the load chamber and the transfer chamber. The intermediate process module may be coupled to the load chamber, or both the load chamber and the transfer chamber. In one embodiment, the load lock is a single-wafer load lock capable of accommodating only a single wafer at a time to allow for fast pump down and vent cycles. In one embodiment, the pass-through chamber is configured as a cooling station to improve throughput for processes that require the wafer to be cooled in-between depositions, for example.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: December 20, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: Craig L. Stevens, Karl B. Levy
  • Patent number: 6972055
    Abstract: An atomic layer deposition system is described that includes a deposition chamber. A first and second reaction chamber are positioned in the deposition chamber and contain a first and a second reactant species, respectively. A monolayer of the first reactant species is deposited on a substrate passing through the first reaction chamber. A monolayer of the second reactant species is deposited on a substrate passing through the second reaction chamber. A transport mechanism transports a substrate in a path through the first reaction chamber and through the second reaction chamber, thereby depositing a film on the substrate by atomic layer deposition. The shape of the first and the second reaction chambers are chosen to achieve a constant exposure of the substrate to reactant species when the transport mechanism transports the substrate in the path through the respective reaction chambers at the constant transport rate.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: December 6, 2005
    Assignee: Finens Corporation
    Inventor: Piero Sferlazzo
  • Patent number: 6971832
    Abstract: A wafer load lock and linear delivery system including within a load lock vacuum chamber a paddle cantilevered from a trolley traveling on a single rail extending on a lateral side of the wafer end of the paddle while it is retracted into the load lock chamber. The trolley is magnetically coupled to an external mechanical drive through a vacuum wall of the vacuum chamber which drives the wafer on the paddle into an attached processing chamber. A single wafer may be loaded onto the paddle through a door in the vacuum wall. Alternatively, a cassette of wafers may be loaded into a cassette station opposite the processing chamber. One end of the paddle removes a wafer from the cassette, and a hand off station moves the wafer to a second end of the paddle, which then moves it into the processing chamber.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: December 6, 2005
    Assignee: Transfer Engineering and Manufacturing, Inc.
    Inventors: Michael A. Ackeret, Andrew P. Lunday
  • Patent number: 6970770
    Abstract: At a time Tp when a wafer W is transferred into either a load lock chamber LL1 or LL2, periods PSL for the load lock chambers LL1 and LL2 to get ready to permit a transfer of a next wafer W thereinto are calculated based on a timing for exchange of wafers W between the load lock chamber LL1 or LL2 and a loader module LM. When the periods PSL are calculated, a loader arm LA1 or LA2 selects a next wafer W having the shortest period to get ready to be transferable into the load lock chamber LL1 or LL2, from load ports LP1 to LP3. This improves transfer delay in a cluster tool provided with the load lock chambers.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: November 29, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Kiyohito Iljima, Seiichi Kaise, Keiko Takahashi, Akira Obi
  • Patent number: 6962472
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: November 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6960057
    Abstract: A substrate transport apparatus comprising a drive section and a robot transport arm. The robot transport arm is mounted to the drive section. The robot transport arm has a wrist and an end effector to hold the substrate thereon. The end effector is rotatably mounted to the wrist to rotate about the wrist. The rotation of the end effector about the wrist is slaved to the robot transport arm. The robot transport arm is adapted to transport substrates into and out of two general side-by-side orientated substrate holding areas with the drive section being located in only one location relative to the two holding areas.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: November 1, 2005
    Assignee: Brooks Automation, Inc.
    Inventor: Christopher A. Hofmeister
  • Patent number: 6955516
    Abstract: In a first aspect, a module is provided that is adapted to process a wafer. The module includes a processing portion having one or more features such as (1) a rotatable wafer support for rotating an input wafer from a first orientation wherein the wafer is in line with a load port to a second orientation wherein the wafer is in line with an unload port; (2) a catcher adapted to contact and travel passively with a wafer as it is unloaded from the processing portion; (3) an enclosed output portion adapted to create a laminar air flow from one side thereof to the other; (4) an output portion having a plurality of wafer receivers; (5) submerged fluid nozzles; and/or (6) drying gas flow deflectors, etc. Other aspects include methods of wafer processing.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: October 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Younes Achkire, Alexander Lerner, Boris T. Govzman, Boris Fishkin, Michael Sugarman, Rashid Mavliev, Haoquan Fang, Shijian Li, Guy Shirazi, Jianshe Tang
  • Patent number: 6943122
    Abstract: The present invention provides a vacuum processing system for creating processed substrates having a domed lid on at least the transfer chamber. The lid may be provided either convex to the chamber, thus decreasing the volume of the chamber and the amount of microparticulate matter present in the chamber, or concave to the chamber. The invention also provides features to enhance the use of the domed lid, e.g., structural features that decrease lifting of the edges of the lid upon introduction of a vacuum to the chamber.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: September 13, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Earl G. Powell
  • Patent number: 6941185
    Abstract: A vacuum processing apparatus and method wherein a plurality of processing units are for conducting processing, a transfer processing unit is connected with the plurality of processing units for carrying wafers to the processing units, a transfer device is disposed in the transfer processing unit and carries the wafers and cassettes for containing the wafers, and a control unit is provided for conducting transfer control for transferring the wafers from respective cassettes to the transfer processing unit. The wafers are processed by using the plural processing units, and at least two of the cassettes are used. Parallel processing is conducted of applying same processing to the wafers contained on each of the cassettes by applying the same recipe and the wafers, after applying the parallel processing, are returned to the original cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: September 6, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6936134
    Abstract: A substrate processing apparatus comprises a heating process chamber in which a heating process is performed for a wafer, a load lock chamber, connected to the heating process chamber, for controlling at least oxygen concentration and pressure, a transferring arm transferring the wafer between the heating process chamber and the load lock chamber, and a gate valve shielding the heating process chamber from the load lock chamber. Thus, an insulation film with high quality can be formed. In addition, the wafer is temporarily placed in the load lock chamber adjacent to the heating process chamber without need to be transferred to another unit. Thus, the transferring time period for the wafer can be shortened. In addition, footprints can be decreased.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 30, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Akira Yonemizu, Shigeyoshi Kojima
  • Patent number: 6935828
    Abstract: A wafer load lock and linear delivery system including within a load lock vacuum chamber a paddle cantilevered from a trolley traveling on a single rail extending on a lateral side of the wafer end of the paddle while it is retracted into the load lock chamber. The trolley is magnetically coupled to an external mechanical, drive through a vacuum wall of the vacuum chamber which drives the wafer on the paddle into an attached processing chamber. A single wafer may be loaded onto the paddle through a door in the vacuum wall. Alternatively, a cassette of wafers may be loaded into a cassette station opposite the processing chamber. One end of the paddle removes a wafer from the cassette, and a hand off station moves the wafer to a second end of the paddle, which then moves it into the processing chamber.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: August 30, 2005
    Assignee: Transfer Engineering and Manufacturing, Inc.
    Inventors: Michael A. Ackeret, Andrew P. Lunday
  • Patent number: 6930050
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: August 16, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6925355
    Abstract: The present invention provides a vacuum stage device that moves a substrate to be processed in a vacuum environment. In a substrate transfer device in accordance with the present invention, a wafer mounted to a wafer platen is moved in a vacuum processing chamber. This substrate transfer device includes a first driving mechanism for moving the wafer platen in a Y1 direction, and a second driving mechanism that is provided in the vacuum processing chamber and linearly reciprocates the wafer platen in X1 and X2 directions at a high speed.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: August 2, 2005
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventors: Hidehiko Mori, Yoshiyuki Tomita, Kazutoshi Sakaki
  • Patent number: 6915183
    Abstract: The present invention has a first optical detection mark having a predetermined positional coordinate in a lateral direction with respect to a carrier opening of a processing unit through which a carrier apparatus enters and exits, a second optical detection mark having a predetermined positional coordinate in a vertical direction with respect to the carrier opening, and an optical sensor provided on the substrate carrier apparatus for detecting the first or second optical detection mark. The substrate carrier apparatus is rotated by a predetermined angle from a position of the substrate carrier apparatus where the optical sensor detects the first optical detection mark, and the substrate carrier apparatus is moved in the vertical direction by a predetermined amount of movement from a position of the substrate carrier apparatus where the optical sensor detects the second optical detection mark.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: July 5, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Naruaki Iida, Kazuhiko Ito, Michio Kinoshita
  • Patent number: 6911112
    Abstract: A method of manufacturing a semiconductor device includes first and second processes, the latter requiring more processing time. An apparatus for performing the semiconductor manufacturing process includes a first reactor, and a plurality of second reactors for each first reactor. A first group of wafers are subjected to the first process within the first reactor, and are then transferred into a second reactor as isolated from the outside air. The first group of wafers is subjected to the second process within the second reactor. At the same time, a second group of wafers are subjected to the first process within the first reactor. After the first process is completed, the second group of wafers is transferred into an unoccupied one of the second reactors as isolated from the outside air. There, the second group of wafers is subjected to the second process.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jae-Hyuck An
  • Patent number: 6910497
    Abstract: According to the invention, a semiconductor component manufacturing plant is constructed in a building having an upper storey and a lower storey separated from one another by a support slab and a false floor. The support slab has passageway openings, whilst the false floor consists of plates themselves having through passages. Vacuum generation means are disposed in an intermediate space situated between the support slab and the false floor which itself carries a process chamber situated in the upper space constituting a clean room. Suction means situated in the lower storey create a gaseous flow directed downwards from the clean room through the intermediate space to the lower storey. In this way, the false floor acts as a non-return mechanism for noise, thermal or chemical pollution coming from the vacuum generation means.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: June 28, 2005
    Assignee: Alcatel
    Inventor: Roland Bernard
  • Patent number: 6909490
    Abstract: For use in association with microlithography systems, reticle chambers and reticle cassettes are disclosed that provide ready access to and exchange of reticles for exposure as well as temperature control of the reticles. In an embodiment a vacuum reticle library is provided in a reticle-storage chamber. The vacuum reticle library includes a rack comprising multiple shelves for holding respective reticles at different respective elevations. One or more shelves comprises a fluid conduit through which is circulated a temperature-controlled fluid. By adjusting and controlling the temperature of the fluid (e.g., water) circulated to each shelf, the temperature of the respective reticles held on the shelves can be controlled and adjusted quickly. Similarly, an atmospheric-pressure reticle library can be provided in an atmospheric-pressure chamber containing a rack of multiple shelves on which respective reticle cassettes (containing reticles) can be stored.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: June 21, 2005
    Assignee: Nikon Corporation
    Inventor: Noriyuki Hirayanagi
  • Patent number: 6905107
    Abstract: A sealable door assembly including a frontplate which faces an opening to be sealed, a backplate operatively coupled to the frontplate, and at least one inflatable member located between the frontplate and the backplate. When inflated, the inflatable member moves the frontplate into sealing engagement with the opening. In one embodiment the inflatable member includes one or more vacuum grade bellows. A movable mechanism moves the door assembly to selectively occlude the opening. Once the door assembly occludes the opening, the inflatable member is inflated and expands forcing the frontplate in a direction normal to the opening, thereby sealing the opening. The door assembly may be mounted within a pocket formed in an outer wall of a transfer chamber, and a sealing plate may be employed to form a gas tight region within the pocket. The gas tight region may be pressurized to enhance the door's seal of the opening.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: June 14, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Jeff Blahnik
  • Patent number: 6904699
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: June 14, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou