Plasma (e.g., Cold Plasma, Corona, Glow Discharge, Etc.) Patents (Class 427/535)
  • Patent number: 11952663
    Abstract: Exemplary semiconductor processing chambers may include a substrate support including a top surface. A peripheral edge region of the top surface may be recessed relative to a medial region of the top surface. The chambers may include a pumping liner disposed about an exterior surface of the substrate support. The chambers may include a liner disposed between the substrate support and the pumping liner. The liner may be spaced apart from the exterior surface to define a purge lumen between the liner and the substrate support. The chambers may include an edge ring seated on the peripheral edge region. The edge ring may extend beyond a peripheral edge of the substrate support and above a portion of the liner. A gap may be formed between a bottom surface of the edge ring and a top surface of the liner. The gap and the purge lumen may be fluidly coupled.
    Type: Grant
    Filed: May 8, 2023
    Date of Patent: April 9, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Pathak, Tuan A. Nguyen, Amit Bansal, Badri N. Ramamurthi, Thomas Rubio, Juan Carlos Rocha-Alvarez
  • Patent number: 11926744
    Abstract: An object is to provide a printing ink that has high environmental friendliness and is excellent in quick dry-curability of a coating when a plasma is used as a curing system. A solution is to provide an ink composition for plasma curing including a photocatalyst compound and/or a composite of a metal component and a photocatalyst compound and to provide an additive for an ink composition for plasma curing, the additive including a photocatalyst compound and/or a composite of a metal component and a photocatalyst compound.
    Type: Grant
    Filed: August 20, 2019
    Date of Patent: March 12, 2024
    Assignee: SAKATA INX CORPORATION
    Inventors: Takashi Ishizuka, Yasutsugu Mochizuki
  • Patent number: 11915923
    Abstract: A plasma processing system is provided. The system includes a hydrogen gas supply and a hydrocarbon gas supply and a processing chamber. The system includes a first mass flow controller (MFC) for controlling hydrogen gas flow into the processing chamber and a second MFC for controlling hydrocarbon gas flow into the processing chamber. The system includes a plasma source for generating plasma at the processing chamber. The plasma is for etching SnO2. The system includes a controller for regulating the first MFC and the second MFC such that a ratio of hydrocarbon gas flow to the hydrogen gas flow into the processing chamber is between 1% and 60% so that when SnH4 is produced during said etching SnO2. The SnH4 is configured to react with hydrocarbon gas to produce an organotin compound that is volatilizable in a reaction that is more kinetically favorable than SnH4 decomposition into Sn powder.
    Type: Grant
    Filed: November 5, 2020
    Date of Patent: February 27, 2024
    Assignee: Lam Research Corporation
    Inventors: Akhil Singhal, Dustin Zachary Austin, Jeongseok Ha, Pei-Chi Liu
  • Patent number: 11851741
    Abstract: A hard carbon film that forms a sliding surface of a sliding member, wherein the hard carbon film includes terminal atoms that bond to carbon atoms and has a plurality of protruding shaped parts, part of which protrude from the surface thereof, with the periphery of each of the plurality of protruding shaped parts being terminated by a terminal atom. A manufacturing method for the hard carbon film for producing the hard carbon film on a sliding surface of the sliding member using arc vapor deposition having graphite as the vaporization source, wherein a gas containing the terminal atoms that bond to carbon atoms is introduced, and the plurality of protruding shaped parts is grown on the surface of the hard carbon film while terminating the periphery of the plurality of protruding shaped parts by bonding of the terminal atoms to carbon atoms.
    Type: Grant
    Filed: May 9, 2018
    Date of Patent: December 26, 2023
    Assignee: NIPPON ITF, INC.
    Inventors: Takehiko Ooshiro, Yukako Fukutani, Koji Miyake
  • Patent number: 11826710
    Abstract: Porous liquid-filtering membranes having a repeatable distribution of pores of a small dimension are provided, as well as pillar templates that are used to produce such liquid filtering membranes. Also disclosed are methods of making and using the pillar templates to make porous liquid filtering membranes.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: November 28, 2023
    Assignee: GLOBAL LIFE SCIENCES SOLUTIONS USA, LLC
    Inventors: Douglas Albagli, William A Hennessy
  • Patent number: 11795541
    Abstract: A method (100) of cooling a deposition source (200) is described. The method includes stopping (110) depositing material from the deposition source, the deposition source being arranged in a deposition chamber (250), and introducing (120) a cooling gas into the deposition chamber (250), the cooling gas comprising a thermal conductivity ? of ??0.05 [W/(m*K)]. Further, a chamber for cooling a deposition source is described. The chamber includes a deposition source being arranged in the chamber. Further, the chamber includes a cooling gas supply system configured for providing a cooling gas into the chamber, the cooling gas comprising a thermal conductivity ? of ??0.05 [W/(m*K)].
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: October 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Claire Armstrong, Frank Schnappenberger, Thomas Deppisch
  • Patent number: 11756796
    Abstract: A method may include providing a substrate having, on a first surface of the substrate, a low dielectric constant layer characterized by a layer thickness. The method may include heating the substrate to a substrate temperature in a range of 200° C. to 550° C.; and directing an ion implant treatment to the low dielectric constant layer, while the substrate temperature is in the range of 200° C. to 550° C. As such, the ion implant treatment may include implanting a low weight ion species, at an ion energy generating an implant depth equal to 40% to 175% of the layer thickness.
    Type: Grant
    Filed: May 12, 2021
    Date of Patent: September 12, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Rajesh Prasad, Martin Seamons, Shan Tang, Qi Gao, Deven Raj Mittal, Kyuha Shim
  • Patent number: 11753304
    Abstract: Systems and method for producing graphene on a substrate are described. Certain types of exemplar systems include lateral arrangements of a substrate gas scavenging environment and an annealing environment. Certain other types of exemplar systems include lateral arrangements of a graphene producing environment and a cooling environment, which cools the graphene produced on the substrate. Yet other types of exemplar systems include lateral arrangements of a localized annealing environment, localized graphene producing environment and a localized cooling environment inside the same enclosure. Certain type of exemplar methods for producing graphene on a substrate include scavenging a first portion of the substrate and preferably, contemporaneously annealing a second portion of the substrate.
    Type: Grant
    Filed: December 6, 2022
    Date of Patent: September 12, 2023
    Assignee: General Graphene Corporation
    Inventors: Vig Sherrill, Mira Baraket, Richard Philpott
  • Patent number: 11746421
    Abstract: A method for forming a crystalline metal layer on a three-dimensional (3D) substrate is provided. The method includes applying crystal growth ink to a surface of the 3D substrate, wherein the crystal growth ink includes a metal ionic precursor and a structuring liquid; and exposing the 3D substrate to plasma irradiation from plasma in a vacuum chamber to cause the growing of a crystalline metal layer on the 3D substrate, wherein the exposure is based on a set of predefined exposure parameters.
    Type: Grant
    Filed: July 23, 2019
    Date of Patent: September 5, 2023
    Assignee: ORELTECH LTD.
    Inventors: Natalia Zamoshchik, Konstantin Livanov, Yana Sheynin
  • Patent number: 11673368
    Abstract: A decoration member including: a color developing layer including a light reflective layer and a light absorbing layer provided on the light reflective layer; and a substrate provided on one surface of the color developing layer. The substrate includes a pattern layer, and the light absorbing layer includes silicon (Si).
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: June 13, 2023
    Assignee: LG CHEM, LTD
    Inventors: Yong Chan Kim, Ki Hwan Kim, Nansra Heo, Jeong Woo Shon, Jin Suk Song, Pilsung Jo
  • Patent number: 11651956
    Abstract: A method for removing a native oxide film from a semiconductor substrate includes repetitively depositing layers of germanium on the native oxide and heating the substrate causing the layer of germanium to form germanium oxide, desorbing a portion of the native oxide film. The process is repeated until the oxide film is removed. A subsequent layer of strontium titanate can be deposited on the semiconductor substrate, over either residual germanium or a deposited germanium layer. The germanium can be converted to silicon germanium oxide by exposing the strontium titanate to oxygen.
    Type: Grant
    Filed: March 11, 2022
    Date of Patent: May 16, 2023
    Assignee: PSIQUANTUM, CORP.
    Inventors: Yong Liang, Vimal Kumar Kamineni
  • Patent number: 11646179
    Abstract: A plasma processing apparatus includes a chamber providing a space for processing a substrate, a substrate stage configured to support the substrate within the chamber and including a lower electrode, an upper electrode facing the lower electrode, a focus ring in or on an upper peripheral region of the substrate stage to surround the substrate, and a plasma adjustment assembly in at least one of a first position between the upper electrode and the lower electrode and a second position between the focus ring and the lower electrode, the plasma adjustment assembly including a photoreactive material layer and a plurality of light sources configured to irradiate light onto a local region of the photoreactive material layer. A capacitance of the local region is changed as the light is irradiated to the local region.
    Type: Grant
    Filed: June 1, 2021
    Date of Patent: May 9, 2023
    Inventors: Jang-Yeob Lee, Sungyeol Kim, Jinyeong Yun, Minsung Kim, HoSun Yoo
  • Patent number: 11643725
    Abstract: Exemplary semiconductor processing chambers may include a substrate support including a top surface. A peripheral edge region of the top surface may be recessed relative to a medial region of the top surface. The chambers may include a pumping liner disposed about an exterior surface of the substrate support. The chambers may include a liner disposed between the substrate support and the pumping liner. The liner may be spaced apart from the exterior surface to define a purge lumen between the liner and the substrate support. The chambers may include an edge ring seated on the peripheral edge region. The edge ring may extend beyond a peripheral edge of the substrate support and above a portion of the liner. A gap may be formed between a bottom surface of the edge ring and a top surface of the liner. The gap and the purge lumen may be fluidly coupled.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: May 9, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Pathak, Tuan A. Nguyen, Amit Bansal, Badri N. Ramamurthi, Thomas Rubio, Juan Carlos Rocha-Alvarez
  • Patent number: 11584646
    Abstract: The present disclosure provides nanostructure compositions and methods of producing nanostructure compositions. The nanostructure compositions comprise at least one population of nanostructures, at least one reactive diluent, at least one anaerobic stabilizer, and optionally at least one organic resin. The present disclosure also provides nanostructure films comprising a nanostructure layer and methods of making nanostructure films.
    Type: Grant
    Filed: January 12, 2021
    Date of Patent: February 21, 2023
    Assignee: Nanosys, Inc.
    Inventors: Austin Smith, David Olmeijer, Jared Lynch, Minghu Tu, Charles Hotz
  • Patent number: 11548208
    Abstract: According to one embodiment, a template includes a base body, and a first film. The base body has a first surface and a second surface. The first surface includes silicon oxide and spreads along a first plane. The second surface crosses the first plane. The first film includes aluminum oxide. A direction from the second surface toward the first film is aligned with a direction perpendicular to the second surface. A thickness of the first film along the direction perpendicular to the second surface is not less than 0.3 nm and not more than 10 ?m. The first surface includes an unevenness.
    Type: Grant
    Filed: October 5, 2021
    Date of Patent: January 10, 2023
    Assignee: KIOXIA Corporation
    Inventors: Koji Asakawa, Shinobu Sugimura
  • Patent number: 11551926
    Abstract: A method of forming a microelectronic device comprises treating a base structure with a first precursor to adsorb the first precursor to a surface of the base structure and form a first material. The first precursor comprises a hydrazine-based compound including Si—N—Si bonds. The first material is treated with a second precursor to covert the first material into a second material. The second precursor comprises a Si-centered radical. The second material is treaded with a third precursor to covert the second material into a third material comprising Si and N. The third precursor comprises an N-centered radical. An ALD system and a method of forming a seal material through ALD are also described.
    Type: Grant
    Filed: January 22, 2021
    Date of Patent: January 10, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Farrell M. Good, Robert K. Grubbs
  • Patent number: 11530129
    Abstract: Disclosed is a method of fabricating a MEMS membrane structure. The method comprises: forming a silicon oxide film dam structure on a silicon substrate; depositing an adhesive layer and then forming a sacrificial layer; depositing a surface protective film on the sacrificial layer; etching the surface protective film and the sacrificial layer, thus forming trenches of first to third rows on the silicon oxide film dam structure; depositing a support film inside of the trenches of first to third rows and on the surface protective film of the sacrificial layer, thus forming a membrane; and removing the sacrificial layer disposed inside the support film deposited inside of the trench of first row, thus forming an empty space.
    Type: Grant
    Filed: November 18, 2019
    Date of Patent: December 20, 2022
    Assignee: KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Boung Ju Lee, Boo Taek Lim
  • Patent number: 11515150
    Abstract: Exemplary processing methods may include forming a plasma of a deposition precursor in a processing region of a semiconductor processing chamber. The methods may include adjusting a variable capacitor within 20% of a resonance peak. The variable capacitor may be coupled with an electrode incorporated within a substrate support on which a substrate is seated. The methods may include depositing a material on the substrate.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: November 29, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Michael Wenyoung Tsiang, Abdul Aziz Khaja, Li-Qun Xia, Kevin Hsiao, Liangfa Hu, Yayun Cheng
  • Patent number: 11499223
    Abstract: Certain embodiments of the present disclosure relate to chamber liners, processing chambers that include chamber liners, and methods of using the same. In one embodiment, a processing chamber comprises a chamber body defining an interior volume and comprising an access port for inserting a substrate into the interior volume; a cathode assembly configured to generate a plasma within the interior volume; and a chamber liner comprising a smooth interior surface that is radially symmetric about a vertical axis of the chamber body. The chamber liner is configured to move between a loading position and an operation position.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: November 15, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: James D. Carducci, Kenneth S. Collins, Kartik Ramaswamy
  • Patent number: 11488803
    Abstract: A substrate processing apparatus includes: a gas injection portion including two gas distribution portions, disposed on an upper portion in the chamber and spatially separated from each other, and two types of nozzles, respectively connected to the two gas distribution portions, having different lengths to each other; a first electrode, connected to a radio-frequency (RF) power supply and disposed below the gas injection portion to be vertically spaced apart from the gas injection portion, having a plurality of openings into which among the nozzles, one type of nozzles are respectively inserted; and a second electrode, disposed to oppose the first electrode, mounting a substrate.
    Type: Grant
    Filed: May 3, 2019
    Date of Patent: November 1, 2022
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Ho Chul Kang
  • Patent number: 11450528
    Abstract: A process for growing nanowires or nanopyramids comprising: (I) providing a graphitic substrate and depositing AlGaN, InGaN, AlN or AlGa(In)N on said graphitic substrate at an elevated temperature to form a buffer layer or nanoscale nucleation islands of said compounds; (II) growing a plurality of semiconducting group III-V nanowires or nanopyramids, preferably III-nitride nanowires or nanopyramids, on the said buffer layer or nucleation islands on the graphitic substrate, preferably via MOVPE or MBE.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: September 20, 2022
    Assignees: Crayonano As, Norwegian University Of Science And Technology (NTNU)
    Inventors: Dong Chul Kim, Ida Marie Høiaas, Mazid Munshi, Bjørn Ove Fimland, Helge Weman, Dingding Ren, Dasa Dheeraj
  • Patent number: 11424119
    Abstract: A method for selectively depositing silicon nitride on a first material relative to a second material is disclosed. An exemplary method includes treating the first material, and then selectively depositing a layer comprising silicon nitride on the second material relative to the first material. Exemplary methods can further include treating the deposited silicon nitride.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: August 23, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: Eric James Shero, Paul Ma, Bed Prasad Sharma, Shankar Swaminathan
  • Patent number: 11404083
    Abstract: An apparatus has a substrate. A laser is deposited above the substrate. The laser comprises one or more non-self-supporting layers of crystalline material. A first layer is disposed proximate the laser. The first layer is deposited using a first process. A second layer is disposed proximate the second layer. The second layer is deposited using a second process different than the first process. The first layer and the second layer are configured to mitigate mechanical stress in the laser. A waveguide is deposited proximate the laser. The waveguide is configured to receive plasmons from the laser and direct the plasmons to a recording medium.
    Type: Grant
    Filed: April 27, 2021
    Date of Patent: August 2, 2022
    Assignee: Seagate Technology LLC
    Inventors: Adam Andrzej Lapicki, Vijayaharan Arumungakannunadar Venugopal, Helene Parwana Habibi, Debra Ann McNeill
  • Patent number: 11396696
    Abstract: A continuous method for preparing a metal substrate having a graphene-comprising coating, the method including providing a metal substrate, continuously advancing the metal substrate into and through a processing chamber, the processing chamber having one or more heating elements, providing electromagnetic radiation to the metal substrate via the one or more heating elements to heat the metal substrate, wherein heating the metal substrates forms a molten metal layer on a top surface of the metal substrate, contacting the molten metal layer with a carbon source gas to form a graphene-comprising coating substantially covering the molten metal layer of the top surface of the metal substrate, solidifying the molten metal layer, and advancing the metal substrate having the graphene-comprising coating out of the processing chamber.
    Type: Grant
    Filed: August 20, 2019
    Date of Patent: July 26, 2022
    Assignee: HONDA MOTOR CO., LTD.
    Inventor: Avetik R. Harutyunyan
  • Patent number: 11315801
    Abstract: Methods for processing a workpiece are provided. The workpiece can include a ruthenium layer and a copper layer. In one example implementation, a method for processing a workpiece can include supporting a workpiece on a workpiece support. The method can include performing an ozone etch process on the workpiece to at least a portion of the ruthenium layer. The method can also include performing a hydrogen radical treatment process on a workpiece to remove at least a portion of an oxide layer on the copper layer.
    Type: Grant
    Filed: May 21, 2021
    Date of Patent: April 26, 2022
    Assignees: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD, MATTSON TECHNOLOGY, INC.
    Inventors: Qi Zhang, Haichun Yang, Hua Chung, Michael X. Yang
  • Patent number: 11267763
    Abstract: A method of manufacturing a CMC structure includes infiltrating a porous substrate with a composite material and performing a first densification on the infiltrated porous substrate, forming a first densified porous substrate, wherein the first densification includes techniques selected from the group of techniques comprising photonic curing, photonic sintering, pulsed thermal heating, or combinations thereof.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: March 8, 2022
    Assignee: Raytheon Technologies Corporation
    Inventors: Wayde R. Schmidt, Paul Sheedy
  • Patent number: 11244824
    Abstract: Methods for depositing a metal film on a doped amorphous silicon layer as a nucleation layer and/or a glue layer on a substrate. Some embodiments further comprise the incorporation of a glue layer to increase the ability of the doped amorphous silicon layer and metal layer to stick to the substrate.
    Type: Grant
    Filed: October 9, 2018
    Date of Patent: February 8, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Rui Cheng, Yihong Chen, Yong Wu, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 11174299
    Abstract: Compositions comprising an isolated peptide, which may for example optionally comprise a sequence consisting of SVHSFDYDWYNV, or any cyclized version thereof, and methods of using same, including for treatment of or prevention of formation of microbial biofilms and against adhesion of a cell to a surface.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: November 16, 2021
    Assignee: DISPERSEBIO LTD.
    Inventor: Amir Zlotkin
  • Patent number: 11130941
    Abstract: The present disclosure relates to a method of fabricating a substrate for culturing stem cells, including forming a plasma polymer layer from a precursor material on a substrate using plasma, and the precursor material contains a heteroaromatic compound or a linear compound.
    Type: Grant
    Filed: June 19, 2018
    Date of Patent: September 28, 2021
    Assignee: Research & Business Foundation Sungkyunkwan University
    Inventors: Donggeun Jung, Sungyool Kwon, Wonjin Ban, Hyuna Lim, Yoonsoo Park
  • Patent number: 11118644
    Abstract: The present invention discloses a vibration-damping and noise-reducing brake disc, where the vibration-damping and noise-reducing brake disc includes an intermediate disc having an outer side and a braking ring which surrounds the outer side of the intermediate disc. Two opposite surfaces of the braking ring are frictional surfaces provided with at least one laser scanning strip, where the laser scanning strip is obtained or formed by laser quenching and hardening treatments of the two frictional surfaces by a laser machine, for changing the physical and mechanical properties of the braking ring, such as the surface and inside hardness, residual stress distribution on the frictional surfaces, and the inside micro-structures of the braking ring, so as to suppress the generation of frictional vibration and noise during braking operations.
    Type: Grant
    Filed: September 30, 2019
    Date of Patent: September 14, 2021
    Assignee: UNIVERSITY OF SHANGHAI FOR SCIENCE AND TECHNOLOGY
    Inventors: Shuwen Wang, Huan Zhang, Xuegang Zhang, Wang Guo, Deyu Zhao
  • Patent number: 11121495
    Abstract: A contact pin for connecting a first electrical conductor made of copper or a copper alloy and a second electrical conductor made of aluminum or an aluminum alloy comprises a plug-in section, a connecting section, and a coating disposed at least on the connecting section. The plug-in section is adapted to couple to the first electrical conductor. The connecting section is adapted to connect to the second electrical conductor. The coating is corrosion-resistant and compatible with aluminum and copper.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: September 14, 2021
    Assignee: TE Connectivity Germany GmbH
    Inventors: Uwe Hauck, Helge Schmidt
  • Patent number: 11085125
    Abstract: A multifunctional coating method involves cleaning a surface, applying a layer of corrosion-resistant alloy coating to the surface, and applying an oleo-hydrophobic composite coating over the corrosion-resistant alloy coating. An oil and gas pipe has an inner surface with a multifunctional coating applied using the multifunctional coating method, and has an inner oleo-hydrophobic composite coating, beneath the inner oleo-hydrophobic composite coating a corrosion-resistant alloy coating, and beneath the corrosion-resistant alloy coating untreated pipe or any other metallic substrate.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: August 10, 2021
    Assignee: Oceanit Laboratories, Inc.
    Inventors: Ganesh Kumar Arumugam, Vinod Veedu, Matthew Nakatsuka
  • Patent number: 11069905
    Abstract: A method for manufacturing a fuel cell separator that ensures an improved corrosion resistance under usage environment of a fuel cell and restraining an increase of a contact resistance with a power generation unit by enhancing a sticking force of a conductive carbon film formed on a surface in contact with the power generation unit on a surface of a titanium substrate is provided. It is a method for manufacturing a fuel cell separator. The fuel cell separator includes a contact portion that is in contact with a power generation unit so as to partition the power generation units including electrodes of the fuel cell, and includes a conductive carbon film formed on the contact portion. First, a titanium substrate that has a plurality of projecting portions formed corresponding to a shape of the contact portion and recessed portions for gas flow channels formed between the projecting portions are prepared as a substrate of the separator.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: July 20, 2021
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Tsuyoshi Seguchi, Koutaro Ikeda, Yukihiro Shibata
  • Patent number: 10950731
    Abstract: Semiconductor devices and methods of forming the same are provided. A semiconductor device according to the present disclosure includes a first semiconductor channel member and a second semiconductor channel member over the first semiconductor channel member and a porous dielectric feature that includes silicon and nitrogen. In the semiconductor device, the porous dielectric feature is sandwiched between the first and second semiconductor channel members and a density of the porous dielectric feature is smaller than a density of silicon nitride.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: March 16, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Yun Peng, Fu-Ting Yen, Ting-Ting Chen, Keng-Chu Lin, Tsu-Hsiu Perng
  • Patent number: 10861954
    Abstract: A device may include: a high-k layer disposed on a substrate and over a channel region in the substrate. The high-k layer may include a high-k dielectric material having one or more impurities therein, and the one or more impurities may include at least one of C, Cl, or N. The one or more impurities may have a molecular concentration of less than about 50%. The device may further include a cap layer over the high-k layer over the channel region, the high-k layer separating the cap layer and the substrate.
    Type: Grant
    Filed: January 7, 2019
    Date of Patent: December 8, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Che-Cheng Chang, Yi-Ren Chen, Chang-Yin Chen, Yi-Jen Chen, Ming Zhu, Yung-Jung Chang, Harry-Hak-Lay Chuang
  • Patent number: 10752649
    Abstract: Metal coordination complexes comprising at least one diazabutadiene based ligand having a structure represented by: where R1 and R4 are selected from the group consisting of C4-C10 alkyl groups; and R2 and R3 are each independently selected from the group consisting of H, C1-C6 alkyl, cycloalkyl, or aryl groups and the difference in the number of carbons in R2 and R3 is greater than or equal to 2. Processing methods using the metal coordination complexes are also described.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: August 25, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey W. Anthis, Atashi Basu, David Thompson, Nasrin Kazem
  • Patent number: 10519412
    Abstract: A stamp and a method for transferring particles to cells of a cell culture. The stamp includes a body having upper and lower surfaces. The lower surface includes a recessed portion. A gel extends along the recessed portion of the lower surface of the body and including the particles patterned therein. The body is configured to move between a first position wherein the gel is isolated from the cells of the cell culture and a second position wherein the particles patterned in the gel communicate with the cells of the cell culture through diffusion.
    Type: Grant
    Filed: June 19, 2015
    Date of Patent: December 31, 2019
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: David Beebe, Erwin Berthier, Mary Regier
  • Patent number: 10513136
    Abstract: In one aspect, a method is described. The method may include exposing a printing surface to a first plasma in order to increase a hydrophilicity of the printing surface. The method may further include, after increasing the hydrophilicity of the printing surface, depositing a printing material on the printing surface. Additionally, the method may include, after depositing the printing material on the printing surface, exposing the printing surface to a second plasma in order to increase a hydrophobicity of the printing surface.
    Type: Grant
    Filed: May 16, 2017
    Date of Patent: December 24, 2019
    Assignee: KYOCERA DOCUMENT SOLUTIONS INC.
    Inventor: Svetlana Paskalova
  • Patent number: 10480068
    Abstract: Embodiments disclosed herein generally relate to a chamber liner for the high temperature processing of substrates in a processing chamber. The processing chamber utilizes an inert bottom purge flow to shield the substrate support from halogen reactants such that the substrate support may be heated to temperatures greater than about 650 degrees Celsius. The chamber liner controls a flow profile such that during deposition the bottom purge flow restricts reactants and by-products from depositing below the substrate support. During a clean process, the bottom purge flow restricts halogen reactants from contacting the substrate support. As such, the chamber liner includes a conical inner surface angled inwardly to direct purge gases around an edge of the substrate support and to reduce deposition under the substrate support and the on the edge.
    Type: Grant
    Filed: February 16, 2017
    Date of Patent: November 19, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sanjeev Baluja, Ren-Guan Duan, Kalyanjit Ghosh
  • Patent number: 10468262
    Abstract: Methods for forming a metallic film on a substrate by cyclical deposition are provided. In some embodiments methods may include contacting the substrate with a first reactant comprising a non-halogen containing metal precursor comprising at least one of copper, nickel or cobalt and contacting the substrate with a second reactant comprising a hydrocarbon substituted hydrazine. In some embodiments related semiconductor device structures may include at least a portion of a metallic interconnect formed by cyclical deposition processes.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: November 5, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Katja Väyrynen, Mikko Ritala, Markku Leskelä
  • Patent number: 10364492
    Abstract: Methods are provided for deposition of films comprising manganese on surfaces using metal coordination complexes comprising an amidoimino-based ligand. Certain methods comprise exposing a substrate surface to a manganese precursor, and exposing the substrate surface to a co-reagent.
    Type: Grant
    Filed: October 16, 2014
    Date of Patent: July 30, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jeffrey W. Anthis, David Thompson, Ravi Kanjolia, Shaun Garrett
  • Patent number: 10221481
    Abstract: Metal complexes containing one or more amidoimine ligands, methods of making such metal complexes, and methods of using such metal complexes to prepare metal-containing films are provided.
    Type: Grant
    Filed: October 24, 2014
    Date of Patent: March 5, 2019
    Assignee: MERCK PATENT GMBH
    Inventors: Ravi Kanjolia, Shaun Garratt, David Thompson, Jeffrey Anthis
  • Patent number: 10222511
    Abstract: The invention relates to an optical article comprising a substrate coated with an abrasion and scratch resistant coating composed of a lower layer and an upper layer that do adhere to each other, the upper layer and the lower layer being layers of cured upper and lower layer compositions, said upper layer composition comprising at least one organosilane, or a hydrolyzate thereof, of formula RnYmSi(X)4-n-m and at least one compound, or a hydrolyzate thereof, of formula M(Z)x, the following ratio being lower than 2.
    Type: Grant
    Filed: September 13, 2016
    Date of Patent: March 5, 2019
    Assignee: Essilor International (Compagnie Generale d'Optique)
    Inventors: Fabien Berit-Debat, Christian Bovet, Jean-Paul Cano, Amélie Kudla, Yves Leclaire
  • Patent number: 10065361
    Abstract: Embodiments of the present disclosure are drawn to additive manufacturing apparatus and methods. An exemplary apparatus for fabricating components via additive manufacturing may include a programmable CNC machine. The CNC machine may comprise a first worktable extending in a first plane and a second worktable extending in a second plane, wherein the second plane is oriented at an angle relative to the first plane. At least one conveyor belt may be operably coupled to the first worktable. A printing gantry may be displaceable along a first axis of the CNC machine. The CNC machine may also comprise an applicator having a nozzle configured to deposit material on the second worktable.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: September 4, 2018
    Assignee: Thermwood Corporation
    Inventors: Kenneth J. Susnjara, Brian S. Smiddy, Jonathan I. Fuquay
  • Patent number: 9911622
    Abstract: Non-uniformity in a thickness of a silicon oxide film formed on a processing target object can be reduced even when an aspect ratio of an opening of a mask is increased. A silicon oxide film is formed by repeating a sequence including: (a) a first process of forming a reactant precursor on the processing target object by generating plasma of a first gas containing a silicon halide gas within a processing vessel of a plasma processing apparatus; (b) a second process of generating plasma of a rare gas within the processing vessel after the first process; (c) a third process of forming a silicon oxide film by generating plasma of a second gas containing an oxygen gas within the processing vessel after the second process; and (d) a fourth process of generating plasma of a rare gas within the processing vessel after the third process.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: March 6, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshihide Kihara, Toru Hisamatsu, Tomoyuki Oishi, Masanobu Honda
  • Patent number: 9865456
    Abstract: Methods of forming silicon nitride. Silicon nitride is formed on a substrate by atomic layer deposition at a temperature of less than or equal to about 275° C. The as-formed silicon nitride is exposed to a plasma. The silicon nitride may be formed as a portion of silicon nitride and at least one other portion of silicon nitride. The portion of silicon nitride and the at least one other portion of silicon nitride may be exposed to a plasma treatment. Methods of forming a semiconductor structure are also disclosed, as are semiconductor structures and silicon precursors.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: January 9, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Sumeet C. Pandey, Brenda D. Kraus, Stefan Uhlenbrock, John A. Smythe, Timothy A. Quick
  • Patent number: 9799867
    Abstract: An object of the present invention is to provide a laminated porous film excellent in handling ability. A laminated porous film having a layer containing a polymer other than a polyolefin laminated on at least one surface of a polyolefin porous film, wherein the uplift quantity of a side perpendicular to the machine direction, when allowed to stand still for 1 hour under an environment of a temperature of 23° C. and a humidity of 50%, is 15 mm or less.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: October 24, 2017
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Takeshi Kawakami, Jian Wang, Yasutoshi Minemoto, Satoshi Yoneyama
  • Patent number: 9614182
    Abstract: A method of manufacturing a display apparatus, the method including forming a display device on a substrate; and forming a thin-film encapsulation layer on the display device, the thin-film encapsulation layer including at least one inorganic layer that includes low-temperature viscosity transition (LVT) inorganic materials, wherein forming the thin-film encapsulation layer includes irradiating energy beams toward the thin-film encapsulation layer during formation of the thin-film encapsulation layer.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: April 4, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Jai-Hyuk Choi
  • Patent number: 9481804
    Abstract: An electroconductive ink composition comprising silver particles (A), a compound having a siloxane backbone with a functional group (B), and an organic solvent (C), the silver particles (A) having a protective layer containing an amino group-containing compound and having a mean particle size of 1 nm or more and 100 nm or less, the content of the compound (B) being 4% by weight to 8% by weight based on the total amount of the composition, can form a circuit pattern on a polymer film with low heat resistance, and the obtained circuit pattern has excellent adhesion to a substrate and high conductivity.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: November 1, 2016
    Assignee: OSAKA SODA CO., LTD.
    Inventors: Kensuke Kawamura, Hideaki Umakoshi
  • Patent number: 9475312
    Abstract: An ink jet printer includes a transport mechanism that transports a medium in a first direction; and a carriage that includes a plasma irradiation mechanism, which emits plasma generated in a discharge portion from a plasma irradiation port and then irradiates at least a part of the medium with the plasma, and a head which ejects ink onto the part of the medium which is irradiated with the plasma, and that moves in a second direction intersecting with the first direction, in which the plasma irradiation mechanism is provided on one side of the head in the second direction, and the discharge portion of the plasma irradiation mechanism is disposed so as not to come in contact with the medium.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: October 25, 2016
    Assignee: Seiko Epson Corporation
    Inventors: Kenji Kitada, Takuya Miyakawa, Takashi Saiba, Kiyofumi Kitawada, Atsushi Denda, Maki Nariai