Metal, Metal Alloy, Or Metal Oxide Coating Patents (Class 427/576)
  • Patent number: 11776640
    Abstract: A method for programming a non-volatile memory structure, comprises initiating a two-dimensional fractional number of bits-per-cell programming scheme of a plurality of memory cells, wherein the memory structure comprises: (1) a first memory array comprising a first population of memory cells and the associated peripheral circuitry disposed below the first population of cells, (2) a second memory array positioned above the first memory array and comprising a second population of memory cells and associated peripheral circuitry disposed above the second population of cells, and (3) a data bus tap electrically coupling the first and second memory arrays. Further, the method comprises: (1) storing input data in data latches associated with the first array and with the second array. Additionally, the method comprises converting the stored data using data conversion logic implemented by a data path circuit of the first and second arrays and rewriting the converted data to the latches.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: October 3, 2023
    Assignee: SanDisk Technologies LLC
    Inventors: Kei Kitamura, Yuki Fujita, Kyosuke Matsumoto, Masahiro Kano, Minoru Yamashita, Ryuji Yamashita, Shuzo Otsuka
  • Patent number: 11658014
    Abstract: Methods and apparatus for depositing a coating on a semiconductor manufacturing apparatus component are provided herein. In some embodiments, a method of depositing a coating on a semiconductor manufacturing apparatus component includes: sequentially exposing a semiconductor manufacturing apparatus component including nickel or nickel alloy to an aluminum precursor and a reactant to form an aluminum containing layer on a surface of the semiconductor manufacturing apparatus component by a deposition process.
    Type: Grant
    Filed: April 11, 2020
    Date of Patent: May 23, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pingyan Lei, Dien-Yeh Wu, Xiao Ming He, Jennifer Y. Sun, Lei Zhou, Takashi Kuratomi, Avgerinos V. Gelatos, Mei Chang, Steven D. Marcus
  • Patent number: 11591691
    Abstract: Disclosed is a method of forming a thin film using a surface protection material, the method comprising supplying the surface protection material to the inside of a chamber on which a substrate is placed so that the surface protection material is adsorbed to the substrate, discharging the unadsorbed surface protection material from the inside of the chamber by purging the interior of the chamber, supplying a metal precursor to the inside of the chamber so that the metal precursor is adsorbed to the substrate, discharging the unadsorbed metal precursor from the inside of the chamber by purging the interior of the chamber, and supplying a reaction material to the inside of the chamber so that the reaction material reacts with the adsorbed metal precursor to form the thin film.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: February 28, 2023
    Assignees: EGTM CO., LTD., SK HYNIX INC.
    Inventors: Geun Su Lee, Jae Min Kim, Ha Na Kim, Woong Jin Choi, Eun Ae Jung, Dong Hyun Lee, Myung Soo Lee, Ji Won Moon, Dong Hak Jang, Hyun Sik Noh
  • Patent number: 11251023
    Abstract: Described herein are articles, systems and methods where a plasma resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The plasma resistant coating has a stress relief layer and a layer comprising a solid solution of Y2O3—ZrO2 and uniformly covers features, such as those having an aspect ratio of about 3:1 to about 300:1.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: February 15, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xiaowei Wu, David Fenwick, Jennifer Y. Sun, Guodong Zhan
  • Patent number: 11101344
    Abstract: Structures and formation methods of a semiconductor device structure are provided. The semiconductor device structure includes a semiconductor substrate and a gate stack over the semiconductor substrate. The gate stack includes a gate dielectric layer and a work function layer. The gate dielectric layer is between the semiconductor substrate and the work function layer. The semiconductor device structure also includes a halogen source layer. The gate dielectric layer is between the semiconductor substrate and the halogen source layer.
    Type: Grant
    Filed: October 7, 2019
    Date of Patent: August 24, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Wei Lin, Chih-Lin Wang, Kang-Min Kuo
  • Patent number: 10964537
    Abstract: Systems and methods for forming semiconductor layers, including oxide-based layers, are disclosed in which a material deposition system has a rotation mechanism that rotates a substrate around a center axis of a substrate deposition plane of the substrate. A material source that supplies a material to the substrate has i) an exit aperture with an exit aperture plane and ii) a predetermined material ejection spatial distribution from the exit aperture plane. The exit aperture is positioned at an orthogonal distance, a lateral distance, and a tilt angle relative to the center axis of the substrate. The system can be configured for either i) minimum values for the orthogonal distance and the lateral distance to achieve a desired layer deposition uniformity using a set tilt angle, or ii) the tilt angle to achieve the desired layer deposition uniformity using a set orthogonal distance and a set lateral distance.
    Type: Grant
    Filed: November 17, 2020
    Date of Patent: March 30, 2021
    Assignee: Silanna UV Technologies Pte Ltd
    Inventor: Petar Atanackovic
  • Patent number: 10899925
    Abstract: The patent application relates to compositions comprising A) 50% to 90% by weight of at least one polymer selected from the group consisting of aromatic polycarbonate, aromatic polyestercarbonate and polyester, B) 5% to 40% by weight of at least one rubber-modified graft polymer, C) 1% to 20% by weight of fused silica having an average particle size d50 of 1.0 to 10.0 ?m and a content of metal oxides?2% by weight based on component C, D) 0% to 20% by weight of at least one additive distinct from component C, and to the use of the compositions for the production of moulded articles, and to the moulded articles themselves.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: January 26, 2021
    Assignee: Covestro Deutschland AG
    Inventors: Kristina Pupovac, Hans-Jürgen Klankers
  • Patent number: 10894760
    Abstract: The present disclosure relates to a composition that includes a solid support, a metal positioned on the solid support, and an oxide coating positioned to at least partially cover the metal. The compositions described herein may be utilized in methods that include contacting muconic acid and hydrogen to convert at least a portion of the muconic acid to adipic acid.
    Type: Grant
    Filed: November 17, 2017
    Date of Patent: January 19, 2021
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Derek Richard Vardon, Steven Thomas Christensen, Katherine Elaine Hurst, Amy Elizabeth Settle, Michael Brandon Griffin
  • Patent number: 10852447
    Abstract: A panel for a flexible digital X-ray detector and a method for manufacturing the same are disclosed. Embodiments of the flexible digital X-ray detector reduce device characteristic deterioration caused by X-ray exposure, increase flexibility to the panel by reducing a thickness of the panel yet provide rigidity to maintain the shape of the panel, and reduce residual impurities during a Laser Lift Off (LLO) process. The panel can include a multi-buffer layer in which a silicon oxide (SiOx) layer and a silicon nitride (SiNx) layer are alternately stacked, and a device array layer and a scintillator layer that are disposed over the multi-buffer layer. During the LLO process, the method for manufacturing the panel includes increasing the hydrogen content using a sacrificial layer including an amorphous silicon (a-Si) layer and a silicon nitride (SiNx) layer disposed at both surfaces of the a-Si layer, such that the amount of residual impurities in the sacrificial layer can be reduced.
    Type: Grant
    Filed: December 5, 2018
    Date of Patent: December 1, 2020
    Assignee: LG Display Co., Ltd.
    Inventors: Jinpil Kim, Minseok Yun
  • Patent number: 10541333
    Abstract: A method for depositing a Group IV semiconductor on a surface of a substrate is disclosed. The method may include: providing a substrate within a reaction chamber and heating the substrate to a deposition temperature. The methods may further include: exposing the substrate to at least one Group IV precursor and exposing the substrate to at least one Group IIIA dopant precursor; wherein the at least one Group IIIA dopant precursor comprises a borohydride, an organic borohydride, a halide, or an organohalide. Semiconductor device structures including a Group IV semiconductor deposited by the methods of the disclosure are also provided.
    Type: Grant
    Filed: May 21, 2018
    Date of Patent: January 21, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: John Tolle, Joe Margetis
  • Patent number: 10163699
    Abstract: A method of forming, on a substrate having on a surface thereof a film having a trench of a preset pattern and a via at a bottom of the trench, a Cu wiring by burying Cu or Cu alloy in the trench and the via includes forming a barrier film (process 2); forming, on a surface of the barrier film, a wetting target layer of Ru or the like (process 3); forming, on a surface of the wetting target layer, a Cu-based seed film by PVD (process 4); filling the via by heating the substrate and flowing the Cu-based seed film into the via (process 5); and forming, on the substrate surface, a Cu-based film made of the Cu or Cu alloy by PVD under a condition where the Cu-based film is flown on the wetting target layer to bury the Cu-based film in the trench (process 6).
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: December 25, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Nagai, Peng Chang, Kenji Matsumoto
  • Patent number: 10036092
    Abstract: A Technique includes forming a film on a substrate in a process chamber by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing supplying a precursor gas to the substrate, exhausting the precursor gas from the process chamber, supplying an oxygen-containing gas to the substrate, exhausting the oxygen-containing gas from the process chamber, supplying a hydrogen-containing gas to the substrate, and exhausting the hydrogen-containing gas from the process chamber. At least one among an amount of an exhausted gas and an exhaust rate in the act of exhausting the oxygen-containing gas is set greater than that in the act of exhausting the precursor gas, and at least one among an amount of an exhausted gas and an exhaust rate in the act of exhausting the hydrogen-containing gas is set greater than that in the act of exhausting the precursor gas.
    Type: Grant
    Filed: September 21, 2015
    Date of Patent: July 31, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Shintaro Kogura, Ryota Sasajima
  • Patent number: 10017855
    Abstract: A processing chamber for processing a substrate is disclosed herein. In one embodiment, the processing chamber includes a liner assembly disposed within an interior volume of the processing chamber, and a C-channel disposed in an interior volume of the chamber, circumscribing the liner assembly. In another embodiment, a process kit disposed in the interior volume of the processing chamber is disclosed herein. The process kit includes a liner assembly, a C-channel, and an isolator disposed in the interior volume. The C-channel and the isolator circumscribe the liner assembly. A method for depositing a silicon based material on a substrate by flowing a precursor gas into a processing chamber is also described herein.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: July 10, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kalyanjit Ghosh, Mayur G. Kulkarni, Sanjeev Baluja, Kien N. Chuc, Sungjin Kim, Yanjie Wang
  • Patent number: 9920207
    Abstract: Metal nanowires, such as silver nanowires coated on a substrate were sintered together to form fused metal nanowire networks that have greatly improved conductivity while maintaining good transparency and low haze. The method of forming such a fused metal nanowire networks are disclosed that involves exposure of metal nanowires to various fusing agents on a short timescale. The resulting sintered network can have a core-shell structure in which metal halide forms the shell. Additionally, effective methods are described for forming patterned structure with areas of sintered metal nanowire network with high conductivity and areas of un-sintered metal nanowires with low conductivity. The corresponding patterned films are also described.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: March 20, 2018
    Assignee: C3NANO INC.
    Inventors: Ajay Virkar, Ying-Syi Li, Xiqiang Yang, Melburne C. LeMieux
  • Patent number: 9722104
    Abstract: Disclosed are a solar cell and a method for manufacturing the same. A solar cell includes a semiconductor substrate, a tunnel layer on the first surface of the semiconductor substrate, a first conductive type semiconductor region on the tunnel layer and includes impurities of a first conductive type, a second conductive type semiconductor region on a second surface and includes impurities of a second conductive type opposite the first conductive type, a first passivation film on the first conductive type semiconductor region, a first electrode formed on the first passivation film and connected to the first conductive type semiconductor region through an opening portion formed in the first passivation film, a second passivation film on the second conductive type semiconductor region, and a second electrode formed on the second passivation film and connected to the second conductive type semiconductor region through an opening portion formed in the second passivation film.
    Type: Grant
    Filed: November 27, 2015
    Date of Patent: August 1, 2017
    Assignee: LG ELECTRONICS INC.
    Inventors: Jungmin Ha, Sungjin Kim, Juhwa Cheong, Junyong Ahn, Hyungwook Choi, Wonjae Chang, Jaesung Kim
  • Patent number: 9689072
    Abstract: A method of depositing a thin film includes: supplying a first source gas to a reactor during a first time period; supplying a purge gas to the reactor during a second time period; supplying a second source gas to the reactor during a third time period; and supplying the purge gas to the reactor during a fourth time period, wherein the first source gas and the second source gas comprise polymer precursors, and wherein the first source gas and the second source gas are supplied at a temperature that is less than 100° C. or about 100° C. According to the method, uniformity and step coverage of a thin film can be improved by depositing an amorphous carbon layer using polymer precursors according to an Atomic layer deposition (ALD) method.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: June 27, 2017
    Assignee: ASM IP HOLDING B.V.
    Inventors: Hyung Wook Noh, Seung Woo Choi, Dong Seok Kang
  • Patent number: 9260781
    Abstract: A plasma based deposition process to deposit thin film on the inner surfaces of the shaped objects such as plastic or metallic object like bottles, hollow tubes etc. at room temperature has been developed. In present invention uniform hydrogenated amorphous carbon (also called Diamond-Like Carbon, DLC) films on inner surfaces of plastic bottles is successfully deposited. Applications of such product include entire food and drug industries. There is a huge demand of polyethylene terephthalate (PET) or polyethylene naphthalate (PEN)) bottles, meant for the storage of potable water, carbonated soft drinks, wines, medicines etc. However, the higher cost prohibits their wide, spread use. The cheaper alternative is to use plastic bottles inside coated with chemically inert material such as Diamond-Like Carbon (DLC) will be commercially viable. Inventor process can be scaled up for mass production.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: February 16, 2016
    Assignee: Council of Scientific and Industrial Research
    Inventors: Sushil Kumar, Prakash Narain Dixit, Chandra Mohan Singh Rauthan
  • Patent number: 9196462
    Abstract: The present invention generally comprises a showerhead insulator for electrically isolating a showerhead assembly from a processing chamber wall, a chamber liner assembly for lining a processing chamber, a lower chamber liner for lining an evacuation area of a processing chamber, and a flow equalizer for ensuring a uniform evacuation of a processing chamber. When processing a substrate within an etching chamber, the showerhead needs to be electrically isolated from ground. A showerhead insulator may insulate the showerhead from ground while also preventing plasma from entering the volume that it occupies. A chamber liner may protect the chamber walls from contamination and reduce chamber cleaning. A flow equalizer will permit processing gases to be evenly pulled into the evacuation channel rather than a disproportionate flow into the evacuation channel. A lower liner can aid in uniformly drawing the vacuum and protecting the chamber walls from contamination.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: November 24, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: James D. Carducci, Olga Regelman
  • Patent number: 9054210
    Abstract: A method of fabricating a semiconductor device, the method including forming on a substrate a transistor that includes a gate electrode and a source and drain region, forming an interlayer insulating film on the transistor, forming a contact hole in the interlayer insulating film to expose a top surface of the source and drain region, and a thin film is formed at an interface between the contact hole and the exposed top surface of the source and drain region. The method further including selectively removing at least a portion of the thin film by performing an etching process in a non-plasma atmosphere, forming an ohmic contact film on the source and drain region where at least a portion of the thin film was selectively removed, and forming a contact plug by filling the contact hole with a conductive material.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: June 9, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Jine Park, Doo-Sung Yun, Bo-Un Yoon, Jeong-Nam Han, Kee-Sang Kwon, Won-Sang Choi
  • Patent number: 9040126
    Abstract: A fabrication of a zeolite composite film includes mixing a composition of water, aluminum isopropoxide, TMAOH, and TEOS according to a set ratio, followed by stirring and heating to obtain a mixture; performing a centrifugation on the mixture to obtain an upper layer suspension; preparing a mesoporous particle suspension that includes a plurality of mesoporous particles, and each mesoporous particle includes a plurality of templating agents; vaporizing a mixture suspension formed from both the upper layer suspension and the mesoporous particle suspension to form a plurality of vaporized droplets; depositing the vaporized droplets on a heated substrate while removing the templating agents to form the zeolite composite film with a plurality of macroporous, mesoporous and microporous structures.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: May 26, 2015
    Assignee: I-SHOU UNIVERSITY
    Inventor: Chiung-Fang Lin
  • Patent number: 9028925
    Abstract: A product having a functional layer and a method for fabricating the same. A method for fabricating a product having a functional layer includes the step of conducting a plasma reaction with titanium and silicon precursor compounds to form a coating on a substrate, such as a heat exchanger surface.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: May 12, 2015
    Assignee: LG Electronics Inc.
    Inventors: Jinhyouk Shin, MoonKap Lee, Junggeun Oh, Jeonggyu Kim
  • Patent number: 9023427
    Abstract: Atomic layer deposition of multi-component, preferably multi-component oxide, thin films. Provide herein is a method for depositing a multi-component oxide film by, for example, an ALD or PEALD process, wherein the process comprises at least two individual metal oxide deposition cycles. The method provided herein has particular advantages in producing multi-component oxide films having superior uniformity. A method is presented, for example, including depositing multi-component oxide films comprising components A?B?O by ALD comprising mixing two individual metal oxides deposition cycles A+O and B+O, wherein the subcycle order is selected in such way that as few as possible consecutive deposition subcycles for A+O or B+O are performed.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: May 5, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Raija Matero, Tom Blomberg
  • Publication number: 20150111793
    Abstract: A bearing surface of an oilfield component is treated by applying a surface treatment having a low coefficient of friction to the bearing surface of the oilfield component by weld fusing an overlay of a Cu—Ni—Sn alloy material to the bearing surface. Weld fusing the overlay of the Cu—Ni—Sn alloy material to the bearing surface can involve laser surface cladding the overlay of the Cu—Ni—Sn alloy material to the bearing surface, gas tungsten arc welding the overlay of the Cu—Ni—Sn alloy material to the bearing surface, or plasma tungsten arc welding the overlay of the Cu—Ni—Sn alloy material to the bearing surface.
    Type: Application
    Filed: October 14, 2014
    Publication date: April 23, 2015
    Inventor: Robert P. Badrak
  • Patent number: 9005718
    Abstract: A process for the simultaneous deposition of films onto both sides of a substrate (2), which comprises in particular introducing a substrate (2) into a reaction chamber (106, 206) or making said substrate run therethrough, in which chamber at least two electrodes (110, 210) are placed. At least one dielectric barrier (14, 114) is placed between these at least two electrodes (110, 210). An adjustable inductor (L) is placed in the secondary circuit of the transformer in parallel with the circuit comprising the at least two electrodes. A high-frequency electrical voltage is generated, said voltage being such that it generates a filamentary plasma (112, 212) on each side of the substrate between the at least two electrodes (110, 210).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: April 14, 2015
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 9005704
    Abstract: Cobalt-containing films, as well as methods for providing the cobalt-containing films. Certain methods pertain to exposing a substrate surface to a precursor and a co-reactant to provide a cobalt-containing film, the first precursor having a structure represented by: wherein each R is independently C1-C6 substituted or un-substituted alkanes, branched or un-branched alkanes, substituted or un-substituted alkenes, branched or un-branched alkenes, substituted or un-substituted alkynes, branched or un-branched alkynes or substituted or un-substituted aromatics, L is a coordinating ligand comprising a Lewis base.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: April 14, 2015
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Jeffrey W. Anthis, David Knapp, Benjamin Schmiege
  • Publication number: 20150096850
    Abstract: A ferritically nitrocarburized rotational member of a vehicle brake is disclosed, including a rotational member having a friction surface configured for braking engagement with a corresponding friction material. A compound zone is disposed at the friction surface. An exposed surface of the compound zone is exposed to an atmosphere. The area of the exposed surface includes from about 0 percent to about 14 percent graphite.
    Type: Application
    Filed: November 12, 2014
    Publication date: April 9, 2015
    Inventors: Michael D. Hanna, Michael L. Holly, Ronnie T. Brown, James A. Webster, Lisa G. Devoe, Donna Y. Sekulovski, Kee Hyuk Im
  • Publication number: 20150086729
    Abstract: A stacked substrate is produced using an apparatus including an injector head device. Production includes the steps of providing an injector head device comprising a gas bearing pressure arrangement and injecting bearing gas against opposite substrate surfaces, to balance the substrate without support in a conveying plane in the injector head device. The following steps are performed iteratively: contacting opposite substrate surfaces with a first precursor gas; and with a second precursor gas, first and second precursor gases supplied in first and second deposition spaces are arranged opposite and facing respective sides of the substrate; establishing relative motion between the deposition space and the substrate in the conveying plane; and providing at least one of a reactant gas, plasma, laser-generated radiation, and/or ultraviolet radiation, in any or both reactant spaces for reacting any of the first and second precursor gas after deposition on at least part of the substrate surface.
    Type: Application
    Filed: April 2, 2013
    Publication date: March 26, 2015
    Inventors: Roger Mathias Wilhelm Görtzen, Sebastiaan Antonius Fransiskus Dielissen, Joseph Adrianus Maria De Swart, Adrianus Johannes Petrus Maria Vermeer
  • Patent number: 8986792
    Abstract: To apply a thermal barrier coating (10), a plasma jet (5) is generated by a plasma torch in a work chamber (2) and is directed to the surface of a substrate (3) introduced into the work chamber, and a ceramic coating material is applied to the substrate surface by means of PS-PVD, wherein the coating material is injected into the plasma jet as a powder and is partly or completely vaporized there. On applying the thermal barrier coating, in a first workstep the feed rate of the injected powder is set so that a large part of the injected powder vaporizes, wherein the coating material condenses from the vapor phase on the substrate surface and forms mixed phases with the material of the substrate surface.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: March 24, 2015
    Assignees: Oerlikon Metco AG, Forschungszentrum Julich GmbH
    Inventors: Andreas Hospach, Robert Vassen, Georg Mauer, Karl-Heinz Rauwald, Detlev Stöver, Konstantin von Niessen, Malko Gindrat
  • Patent number: 8986794
    Abstract: A vapor deposition apparatus efficiently performs a deposition process to form a thin film with improved characteristics on a substrate, and a method manufactures an organic light-emitting display apparatus by using such vapor deposition apparatus. The vapor deposition apparatus includes a body including an upper member and a lateral member coupled to the upper member; a receiving portion disposed to face one side of the lateral member; a stage disposed in the receiving portion and supporting the substrate; a plurality of first injection portions disposed in the lateral member and injecting at least one gas into a space between the lateral member and the upper member; a second injection portion disposed in the upper member and injecting at least one gas into the space between the lateral member and the upper member; and a plasma generating portion including a coil and a power source connected to the coil.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 24, 2015
    Assignees: Samsung Display Co., Ltd., Industry-University Cooperation Foundation Hanyang University Erica Campus
    Inventors: Sang-Joon Seo, Jae-Eung Oh
  • Publication number: 20150077941
    Abstract: An electronic device comprises a power module comprising a first main surface and a second main surface opposite to the first main surface, wherein at least a portion of the first main surface is configured as a heat dissipating surface without electrical power terminal functionality. The electronic device comprises a porous metal layer arranged on the portion of the first main surface.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 19, 2015
    Inventors: Khalil Hosseini, Joachim Mahler, Ivan Nikitin
  • Publication number: 20150075603
    Abstract: A coating is described. The coating includes a metal oxide layer, which in turn includes a surface having a water contact angle greater than 90 degrees. A metal-oxide coating composition is also described. The composition includes effective amounts of a first type and a second of metals and an effective amount of oxygen to react with the first type and the second type of metals to produce a first type and a second type of metal oxides, both of which produce a structure that is greater than about 50% (by volume) amorphous.
    Type: Application
    Filed: March 21, 2013
    Publication date: March 19, 2015
    Inventors: Mark Allen George, Ching-Lin Chang, Ravi Prasad
  • Publication number: 20150072085
    Abstract: Disclosed are methods of using the Ti(iPrDAD)2 precursors to deposit Titanium oxide thin films on one or more substrates via vapor deposition processes.
    Type: Application
    Filed: November 12, 2014
    Publication date: March 12, 2015
    Inventors: Clement LANSALOT-MATRAS, Jooho LEE
  • Patent number: 8975603
    Abstract: Systems and methods for plasma doping microfeature workpieces are disclosed herein. In one embodiment, a method of implanting boron ions into a region of a workpiece includes generating a plasma in a chamber, selectively applying a pulsed electrical potential to the workpiece with a duty cycle of between approximately 20 percent and approximately 50 percent, and implanting an ion specie into the region of the workpiece.
    Type: Grant
    Filed: February 3, 2014
    Date of Patent: March 10, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8969237
    Abstract: Disclosed are, inter alia, methods of forming coated substrates for use in catalytic converters, as well as washcoat compositions and methods suitable for using in preparation of the coated substrates, and the coated substrates formed thereby. The catalytic material is prepared by a plasma-based method, yielding catalytic material with a lower tendency to migrate on support at high temperatures, and thus less prone to catalyst aging after prolonged use. Also disclosed are catalytic converters using the coated substrates, which have favorable properties as compared to catalytic converters using catalysts deposited on substrates using solution chemistry. Also disclosed are exhaust treatment systems, and vehicles, such as diesel vehicles, particularly light-duty diesel vehicles, using catalytic converters and exhaust treatment systems using the coated substrates.
    Type: Grant
    Filed: January 27, 2014
    Date of Patent: March 3, 2015
    Assignee: SDCmaterials, Inc.
    Inventors: Qinghua Yin, Xiwang Qi, Maximilian A. Biberger, Jayashir Sarkar
  • Publication number: 20150056385
    Abstract: In a Cu wiring structure forming method, a barrier film serving as a Cu diffusion barrier is formed at least on a surface of a recess in a first insulating film formed on a substrate, and the recess is filled with an Al-containing Cu film. A Cu wiring is formed from the Al-containing Cu film, and a cap layer including a Ru film is formed on the Cu wiring. Further, an interface layer containing a Ru—Al alloy is formed at an interface between the Cu wiring and the cap layer by heat generated in forming the cap layer or by a heat treatment performed after forming the cap layer. A second insulating film is formed on the cap layer.
    Type: Application
    Filed: August 20, 2014
    Publication date: February 26, 2015
    Inventors: Tadahiro ISHIZAKA, Kenji SUZUKI
  • Publication number: 20150050431
    Abstract: The present invention relates to a ruthenium compound including a specific ligand structure of 1-ethyl-1,4-cyclohexadiene, 1,3-butadiene or isoprene and having superior thermal stability, vaporizing property and step coverage, and a thin film deposited using same.
    Type: Application
    Filed: March 30, 2012
    Publication date: February 19, 2015
    Applicant: HANSOL CHEMICAL CO., LTD.
    Inventors: Jung Woo Park, Jun Young Kim, Kwang deok Lee, Whee Won Jin
  • Patent number: 8956704
    Abstract: Methods for processing a substrate include a) arranging a substrate on a pedestal in a processing chamber; b) supplying precursor to the processing chamber; c) purging the processing chamber; d) performing radio frequency (RF) plasma activation; e) purging the processing chamber; and f) prior to purging the processing chamber in at least one of (c) or (e), setting a vacuum pressure of the processing chamber to a first predetermined pressure that is less than a vacuum pressure during at least one of (b) or (d) for a first predetermined period.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: February 17, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Shankar Swaminathan, Hu Kang, Adrien LaVoie
  • Patent number: 8945689
    Abstract: A method of preparing hydrotalcite for a PVC stabilizer, which comprises: forming crystals of hydrotalcite represented by a formula of M(II)XM(III)Y(OH)N(Am—)Z. nH2O, wherein M(II) is a divalent metal selected from Mg2+, Ni2+ and Zn2+; M(III) is a trivalent metal selected from Al3+, Fe3+, Cr3+ and Co3+; and Am— is an anion selected from CO32-, OH—, NO3-, SO42- and halides; and depositing zinc (Zn) onto the hydrotalcite by using any one method of electrode-position, chloride ion deposition, and plasma deposition to provide zinc-deposited hydrotalcite.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 3, 2015
    Inventor: Dae Hee Lee
  • Publication number: 20150029681
    Abstract: A flexible composite comprising a plastic foil, having an upper and a lower surface, and at least one dielectric barrier layer against gases and liquids which is applied directly to at least one of the surfaces by plasma-enhanced thermal vapor deposition and comprises an inorganic vapor-depositable material, is provided. The flexible composite can be used for constructing flexible circuits or displays and has a high barrier effect with regard to oxygen and/or water vapor.
    Type: Application
    Filed: July 11, 2014
    Publication date: January 29, 2015
    Applicant: EVONIK INDUSTRIES AG
    Inventors: Helmut MACK, Philipp ALBERT, Bjoern BORUP, Anil K. SAXENA
  • Publication number: 20150017348
    Abstract: Atomic layer deposition (ALD) type processes for producing metal containing thin films comprise feeding into a reaction space vapor phase pulses of metal containing cyclopentadienyl precursors as a metal source material. In preferred embodiments the metal containing cyclopentadienyl reactant comprises a metal atom that is not directly bonded to an oxygen or halide atom. In other embodiments the metal atom is bonded to a cyclopentadienyl compound and separately bonded to at least one ligand via a nitrogen atom. In still other embodiments the metal containing cyclopentadienyl compound comprises a nitrogen-bridged ligand.
    Type: Application
    Filed: June 20, 2014
    Publication date: January 15, 2015
    Inventors: Sean T. Barry, Yamile A.M. Wasslen, Antti H. Rahtu
  • Patent number: 8927067
    Abstract: An infrared ray cut-off material is formed of phosphorus-doped antimony tin oxide powder, in which a content of antimony in terms of SbO2 is not less than 14 parts by mass and not more than 30 parts by mass with respect to 100 parts by mass of the infrared ray cut-off material, a content of phosphorus in terms of PO2.5 is not less than 1 part by mass and not more than 25 parts by mass with respect to 100 parts by mass of the infrared ray cut-off material, and a balance other than antinomy oxide and phosphorus oxide is tin oxide.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: January 6, 2015
    Assignees: Mitsubishi Materials Corporation, Mitsubishi Materials Electronic Chemicals Co., Ltd.
    Inventors: Motohiko Yoshizumi, Akira Nakabayashi
  • Publication number: 20150004331
    Abstract: A method for coating a substrate with an AlOx layer, in particular an Al2O3 layer, comprising the following method steps: (a) providing an inductively coupled plasma source (ICP source) having a reaction chamber and at least one RF inductor, (b) introducing an aluminium compound, preferably trimethylaluminium (TMA) into the ICP source, (c) introducing oxygen and/or an oxygen compound as reactive gas into the ICP source and inductively coupling of energy into the ICP source for forming a plasma, and (d) depositing the AlOx layer on the substrate. The invention also relates to a coating assembly for depositing thin layers on a substrate, in particular for carrying out the above method. The coating assembly comprises an inductively coupled plasma source (ICP) having a reaction chamber and at least one RF inductor, a substrate holder for arranging the substrate in the reaction chamber and channels for introducing the aluminium compound and a reactive gas in the ICP source.
    Type: Application
    Filed: February 6, 2013
    Publication date: January 1, 2015
    Applicant: Singulus Technologies AG
    Inventors: Torsten Dippell, Björn Roos, Oliver Hohn, Thorsten Dullweber, Nils-Peter Harder, Michael Seibert
  • Publication number: 20140376346
    Abstract: A method including depositing a plasmonic material at a temperature of at least 150° C.; and forming at least a peg of a near field transducer (NFT) from the deposited plasmonic material.
    Type: Application
    Filed: June 24, 2014
    Publication date: December 25, 2014
    Inventors: Sarbeswar Sahoo, Tong Zhao, Michael C. Kautzky
  • Publication number: 20140377479
    Abstract: The invention provides a transparent conducting film which comprises a compound of formula (I): Zn1-x[M]xO1-y[X]y(I) wherein: x is greater than 0 and less than or equal to 0.25; y is from 0 to 0.1; [X] is at least one dopant element which is a halgen; and [M] is: (a) a dopant element which is selected from: a group 14 element other than carbon; a lanthanide element which has an oxidation state of +4; and a transition metal which has an oxidation state of +4 and which is other than Ti or Zr; or (b) a combination of two or more different dopant elements, at least one of which is selected from: a group 14 element other than carbon; a lanthanide element which has an oxidation state of +4; and a transition metal which has an oxidation state of +4 and which is other than Ti or Zr. The invention further provides coatings comprising the films of the invention, processes for producing such films and coatings, and various uses of the films and coatings.
    Type: Application
    Filed: September 11, 2014
    Publication date: December 25, 2014
    Inventors: Peter P. EDWARDS, Martin JONES, Malek Moshari AL-MAMOURI, John Stuart ABELL
  • Patent number: 8906511
    Abstract: Provided is a bimetallic tube for transport of hydrocarbon feedstocks in a petrochemical process unit and/or refinery process unit, including: i) an outer tube layer being formed from a steam cracker alloy including at least 18.0 wt. % Cr and at least 10.0 wt. % Ni; ii) an inner tube layer being formed from an alumina forming bulk alloy including 5.0 to 10.0 wt. % of Al, 18.0 wt. % to 25.0 wt. % Cr, less than 0.5 wt. % Si, and at least 35.0 wt. % Fe with the balance being Ni, wherein the inner tube layer is formed plasma powder welding the alumina forming bulk alloy on the inner surface of the outer tube layer; and iii) an oxide layer formed on the surface of the inner tube layer, wherein the oxide layer is substantially comprised of alumina, chromia, silica, mullite, spinels, or mixtures thereof.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: December 9, 2014
    Assignee: ExxonMobil Research and Engineering Company
    Inventors: ChangMin Chun, D. Samuel Deutsch, James E. Feather, Jeffrey P. Jones, David B. Spicer
  • Publication number: 20140356551
    Abstract: The invention relates to thermoplastic compositions comprising A) 30.0 to 100.0 parts by wt. of at least one aromatic polycarbonate, B) 0.0 to 50.0 parts by wt. of a rubber-modified graft polymer and/or vinyl copolymer, C) 0.00 to 50.00 parts by wt. of polyester, D) 5.0 to 50.0 parts by wt. of at least one inorganic filler having a particle shape selected from the group including spherical/cubic, tabular/discus-shaped and lamellar geometries, and E) 0.00 to 5.00 parts by wt. of further conventional additives. The invention further relates to thermoplastic shaped articles having a high surface quality, high dimensional stability and high heat distortion temperature, as well as thermoplastic moulding compositions and a process for the production of the shaped articles. The present invention moreover relates to the coated finished parts produced from the thermoplastic shaped parts.
    Type: Application
    Filed: November 28, 2012
    Publication date: December 4, 2014
    Inventors: Thomas Thulke, Ulrich Grosser, Martin Döbler, Birte Sämisch, Alexander Meyer, Christoph Klinkenberg, Rafael Oser
  • Patent number: 8900665
    Abstract: Forming a hardmask layer with an increased etch resistance based on alternating nanolayers of TiN with alternating residual stresses is disclosed. Embodiments include depositing a first nanolayer of TiN, and depositing a second nanolayer of TiN on the first nanolayer, wherein the first and second nanolayers have different residual stresses.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: December 2, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Robin Abraham Koshy
  • Publication number: 20140349092
    Abstract: A surface-tensioned sapphire plate and a corresponding manufacturing process. The plate may include a planar sapphire substrate and at least one layer disposed on the surface of the substrate for tensing the substrate. The layer may include at least 50 wt.-% of aluminum oxide (Al2O3). The manufacturing process for producing of a sapphire plate may include providing a planar sapphire substrate, and coating at least one surface of the substrate with a layer tensing the substrate. The layer may include at least 50 wt.-% of aluminum oxide (Al2O3).
    Type: Application
    Filed: August 6, 2014
    Publication date: November 27, 2014
    Inventors: Rudolf Beckmann, Markus Kress
  • Publication number: 20140349097
    Abstract: The disclosure is directed to enhanced silver coated aluminum substrates for use as optical mirrors in which galvanic corrosion between the silver and aluminum is prevented and a method of making such silver coating and mirrors. The optical mirror according to the disclosure has an in-situ formed “barrier” layer inserted between the aluminum substrate and the silver layer. In addition, selected layers are densified by carrying out their deposition using a high power RF ion source during their deposition.
    Type: Application
    Filed: August 6, 2014
    Publication date: November 27, 2014
    Inventors: Jason Ballou, Frederick Gagliardi
  • Patent number: 8895115
    Abstract: A method of depositing a coating by vapor deposition. The method including ionizing a process gas, generating a metal vapor and creating a metal flow having a metal atom flow density in the range of 1E14 m?3 to 1E24 m?3. The method also includes providing a thermionic emission from a thermionic ionizing grid including thermionic filaments, wherein said ionizing filament grid is at least partially located within said region having a metal atom flow density in the range of 1E14 m?3 to 1E24 m?3, and coating a substrate with the metal vapor.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: November 25, 2014
    Assignee: Southwest Research Institute
    Inventor: Vladimir Gorokhovsky