Plasma (e.g., Corona, Glow Discharge, Cold Plasma, Etc.) Patents (Class 427/569)
  • Patent number: 11845105
    Abstract: A method for depositing a coating on a substrate is disclosed. A first precursor comprising fluoro-acrylate monomers, fluoro-alkyl acrylate monomers, fluoro-methacrylate monomers, fluoro-alkyl methacrylate monomers, fluoro-silane monomers, or a combination or derivates thereof is provided. A second precursor comprising linear siloxanes, silane monomers, cyclosiloxanes, cyclosilane monomers, or a combination or derivates thereof is provided. The first and second precursors are co-injected in a treatment region. An atmospheric or reduced pressure plasma discharge is created in said treatment region. The substrate coating comprises alternated multi-stacked nanostructures and is formed by copolymerization of the first and second precursors.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: December 19, 2023
    Assignee: MOLECULAR PLASMA GROUP SA
    Inventors: Régis Heyberger, Gill Scheltjens
  • Patent number: 11735412
    Abstract: There is provided a technique which includes: forming a first film containing silicon, oxygen, carbon and nitrogen on a substrate by performing a first cycle a predetermined number of times, the first cycle including non-simultaneously performing: forming a first layer containing silicon, oxygen, carbon and nitrogen by simultaneously supplying first aminosilane and an oxidant to the substrate; and performing a first modifying process to the first layer under a first temperature; and performing a second modifying process to the first film under a second temperature that is higher than the first temperature.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: August 22, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Atsushi Sano, Kenji Kameda, Yushin Takasawa
  • Patent number: 11495454
    Abstract: Examples of the present technology include semiconductor processing methods to form boron-containing materials on substrates. Exemplary processing methods may include delivering a deposition precursor that includes a boron-containing precursor to a processing region of a semiconductor processing chamber. A plasma may be formed from the deposition precursor within the processing region of the semiconductor processing chamber. The methods may further include depositing a boron-containing material on a substrate disposed within the processing region of the semiconductor processing chamber, where the substrate is characterized by a temperature of less than or about 50° C. The as-deposited boron-containing material may be characterized by a surface roughness of less than or about 2 nm, and a stress level of less-than or about ?500 MPa. In some embodiments, a layer of the boron-containing material may function as a hardmask.
    Type: Grant
    Filed: August 7, 2020
    Date of Patent: November 8, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Huiyuan Wang, Rick Kustra, Bo Qi, Abhijit Basu Mallick, Kaushik Alayavalli, Jay D. Pinson
  • Patent number: 11437249
    Abstract: To create constant partial pressures of the by-products and residence time of the gas molecules across the wafer, a dual showerhead reactor can be used. A dual showerhead structure can achieve spatially uniform partial pressures, residence times and temperatures for the etchant and for the by-products, thus leading to uniform etch rates across the wafer. The system can include differential pumping to the reactor.
    Type: Grant
    Filed: July 16, 2020
    Date of Patent: September 6, 2022
    Assignee: ASM IP HOLDING B.V.
    Inventors: Tom E. Blomberg, Varun Sharma
  • Patent number: 11417553
    Abstract: A method and apparatus for detecting and correcting incoming substrate deformation is disclosed. Substrates are positioned in a first process chamber, where the presence and type of substrate bow is detected. Based upon the detection of substrate bow, and a determination of whether the substrate has a compressive bow or a tensile bow, a substrate processing program is selected for execution. The substrate processing program can be executed in the first process chamber or in a second process chamber to correct or alleviate the bow prior to or during further processing of the substrate.
    Type: Grant
    Filed: July 29, 2020
    Date of Patent: August 16, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Milind Gadre
  • Patent number: 11395425
    Abstract: A flexible display panel is provided, including a display component layer, a protection cover, and a backplane. The protection cover and the backplane are respectively disposed on opposite sides of the display component layer. The protection cover includes a first section corresponding to a bending area and a second section corresponding to a non-bending area. The first section includes a plurality of first sub-sections and a plurality of second sub-sections which are alternately arranged. The plurality of first sub-sections of the first section are made of a flexible material, and the plurality of second sub-sections of the first section and second sections are made of a rigid material. By setting the protection cover with multi-section design, the protection cover can correspondingly exhibit better bending performance and better hardness performance.
    Type: Grant
    Filed: October 18, 2019
    Date of Patent: July 19, 2022
    Assignee: Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd.
    Inventor: Fang Wang
  • Patent number: 11335605
    Abstract: A method of forming a strained semiconductor device includes: forming a substrate and a MOS device on the substrate; depositing a molecular plug film structure on the MOS device, The molecular plug film structure includes at least one molecular plug film, depositing a stress film on the molecular plug film structure, and performing an annealing process. The stress applied to the MOS device by the stress film is increased by the annealing process. The structure made by the method includes: a MOS device formed on a substrate, a molecular plug film structure formed on the MOS device, the molecular plug film structure includes at least one molecular plug film, and a stress film formed on the molecular plug film structure.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: May 17, 2022
    Assignee: SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION
    Inventors: Runling Li, Yanwei Zhang
  • Patent number: 11315764
    Abstract: Provided is a structure variable type of a plasma source coil and a method for controlling the same. The plasma source coil comprises a plurality of coil branches extending in a spiral shape based on a central part, wherein at least one coil branch has a structure in which the extending direction or a tilting level can be adjusted.
    Type: Grant
    Filed: September 9, 2020
    Date of Patent: April 26, 2022
    Assignee: ADAPTIVE PLASMA TECHNOLOGY CORP.
    Inventors: Woo Hyung Choi, Sang Woo Lee
  • Patent number: 11296084
    Abstract: Provided are a deposition method, a semiconductor device and a method of fabricating the same. The semiconductor device includes a substrate and a dielectric structure. The substrate includes at least one fin thereon. The dielectric structure covers the at least one fin. A thickness of the dielectric structure located on a top surface of the at least one fin is greater than a thickness of the dielectric structure located on a sidewall of the at least one fin. The dielectric structure includes a first dielectric layer and a second dielectric layer. The first dielectric layer is conformally disposed on the at least one fin. The second dielectric layer is disposed on the first dielectric layer over the top surface of the at least one fin. A thickness of the second dielectric layer is greater than a thickness of the first dielectric layer.
    Type: Grant
    Filed: March 2, 2020
    Date of Patent: April 5, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-I Lin, Chun-Heng Chen, Ming-Ho Lin, Chi-On Chui
  • Patent number: 11289328
    Abstract: Chromium containing precursors and methods of forming chromium-containing thin films are described. The chromium precursor has a chromium-diazadiene bond or cyclopentadienyl ligand and is homoleptic or heteroleptic. A suitable reactant is used to provide one of a metallic chromium film or a film comprising one or more of an oxide, nitride, carbide, boride and/or silicide. Methods of forming ternary materials comprising chromium with two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten are also described. Methods of filling gaps in a substrate with a chromium-containing film are also described.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: March 29, 2022
    Assignee: Applied Materials Inc.
    Inventors: Thomas Knisley, Mark Saly, Lakmal C. Kalutarage, David Thompson
  • Patent number: 11270893
    Abstract: A method for etching a poly-granular metal-based film includes providing a flow of a background gas in a plasma etching chamber containing a semiconductor structure including the poly-granular metal-based film formed over a substrate with a mask patterned over the poly-granular metal-based film. The method also includes applying a source power to generate a background plasma from the background gas, and providing a flow of a modifying gas while maintaining the flow of the background gas to generate a modifying plasma that produces a surface modification region with a substantially uniform depth in the top surface of the poly-granular metal-based film exposed by the mask. The method further includes stopping the flow of the modifying gas while maintaining the flow of the background gas, and applying a biasing power to the substrate to remove the surface modification region.
    Type: Grant
    Filed: April 8, 2019
    Date of Patent: March 8, 2022
    Assignee: International Business Machines Corporation
    Inventors: John M. Papalia, Hiroyuki Miyazoe, Nathan P. Marchack, Sebastian Ulrich Engelmann
  • Patent number: 11251048
    Abstract: A plasma processing method according to an exemplary embodiment includes generating plasma from a film formation gas in a chamber of a plasma processing apparatus by supplying radio frequency power from a radio frequency power source. The plasma processing method further includes forming a protective film on an inner wall surface of a side wall of the chamber by depositing a chemical species from the plasma on the inner wall surface. In the forming a protective film, a pulsed negative direct-current voltage is periodically applied from a direct-current power source device to an upper electrode of the plasma processing apparatus.
    Type: Grant
    Filed: June 9, 2020
    Date of Patent: February 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Aoki, Toshikatsu Tobana, Fumiya Takata, Shinya Morikita, Kazunobu Fujiwara, Jun Abe, Koichi Nagami
  • Patent number: 11217443
    Abstract: Embodiments disclosed herein include methods of forming high quality silicon nitride films. In an embodiment, a method of depositing a film on a substrate may comprise forming a silicon nitride film over a surface of the substrate in a first processing volume with a deposition process, and treating the silicon nitride film in a second processing volume, wherein treating the silicon nitride film comprises exposing the film to a plasma induced by a modular high-frequency plasma source. In an embodiment, a sheath potential of the plasma is less than 100 V, and a power density of the high-frequency plasma source is approximately 5 W/cm2 or greater, approximately 10 W/cm2 or greater, or approximately 20 W/cm2 or greater.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: January 4, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Vinayak Veer Vats, Hang Yu, Philip Allan Kraus, Sanjay G. Kamath, William John Durand, Lakmal Charidu Kalutarage, Abhijit B. Mallick, Changling Li, Deenesh Padhi, Mark Joseph Saly, Thai Cheng Chua, Mihaela A. Balseanu
  • Patent number: 11177115
    Abstract: Embodiments for the present application include methods and apparatus for operating a plasma enhanced substrate processing system using dual level pulsed radio frequency (RF) power. More specifically, embodiments of the present disclosure allow for frequency and power tuning in a process chamber using dual level pulsed power by using a tuning controller coupled to a matching network and/or a RF power generator. In one embodiment, a tuning system includes a tuning controller disposed in a tuning system, the tuning controller configured to tune dual level RF pulsing data from a RF power generator, wherein the tuning system is connectable to a plasma processing chamber, and a memory connecting to the tuning controller, wherein the tuning controller is configured to couple to a RF power generator and a matching network disposed in the plasma processing chamber.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: November 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Gary Leray, Valentin N. Todorow, James Rogers
  • Patent number: 11155917
    Abstract: Methods for depositing rhenium-containing thin films are provided. In some embodiments metallic rhenium-containing thin films are deposited. In some embodiments rhenium sulfide thin films are deposited. In some embodiments films comprising rhenium nitride are deposited. The rhenium-containing thin films may be deposited by cyclic vapor deposition processes, for example using rhenium halide precursors. The rhenium-containing thin films may find use, for example, as 2D materials.
    Type: Grant
    Filed: March 31, 2020
    Date of Patent: October 26, 2021
    Assignee: ASM IP HOLDING B.V.
    Inventors: Jani Hamalainen, Mikko Ritala, Markku Leskela
  • Patent number: 11152185
    Abstract: An electron source capable of suppressing consumption of an electron emission material is provide. The present invention provides an electron source including: an electron emission material; and, an electron emission-suppressing material covering a side surface of the electron emission material, wherein a work function of the electron emission-suppressing material is higher than that of the electron emission material, and a thermal emissivity of the electron emission-suppressing material is lower than that of the electron emission material.
    Type: Grant
    Filed: February 17, 2021
    Date of Patent: October 19, 2021
    Assignee: Denka Company Limited
    Inventors: Toshiyuki Morishita, Hiromitsu Chatani, Shimpei Hirokawa, Toshiyuki Ibayashi, Isao Sugimoto
  • Patent number: 11133159
    Abstract: A plasma polymerization apparatus is provided for forming a polymerization coating on an inner surface of an object. The plasma polymerization apparatus comprises a chamber, a gas supply, a monomer source, a first electrode, a second electrode, a power source, and a metal foil. The gas supply is connected to the chamber for filling the chamber with a working gas. The monomer source is connected to the chamber for providing a vaporized monomer material into the chamber. The first electrode is located at a first side of the chamber. The second electrode is located at a second side of the chamber. The power source is electrically connected to the first electrode and the second electrode for generating plasma. The metal foil is wrapped around an outer surface of the object and placed between the first electrode and the second electrode. A plasma polymerization method is also provided.
    Type: Grant
    Filed: March 26, 2020
    Date of Patent: September 28, 2021
    Assignee: MING CHI UNIVERSITY OF TECHNOLOGY
    Inventors: Jang-Hsing Hsieh, Chuan Li, Nima Bolouki, Himanshu Mishra
  • Patent number: 11133180
    Abstract: Provided herein are methods and apparatus for filling one or more gaps on a semiconductor substrate. The disclosed embodiments are especially useful for forming seam-free, void-free fill in both narrow and wide features. The methods may be performed without any intervening etching operations to achieve a single step deposition. In various implementations, a first operation is performed using a novel PEALD fill mechanism to fill narrow gaps and line wide gaps. A second operation may be performed using PECVD methods to continue filling the wide gaps.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: September 28, 2021
    Assignee: Lam Research Corporation
    Inventors: Hu Kang, Shankar Swaminathan, Jun Qian, Wanki Kim, Dennis M. Hausmann, Bart J. van Schravendijk, Adrien LaVoie
  • Patent number: 11114306
    Abstract: Embodiments of the present invention provide an apparatus and methods for depositing a dielectric material using RF bias pulses along with remote plasma source deposition for manufacturing semiconductor devices, particularly for filling openings with high aspect ratios in semiconductor applications. In one embodiment, a method of depositing a dielectric material includes providing a gas mixture into a processing chamber having a substrate disposed therein, forming a remote plasma in a remote plasma source and delivering the remote plasma to an interior processing region defined in the processing chamber, applying a RF bias power to the processing chamber in pulsed mode, and forming a dielectric material in an opening defined in a material layer disposed on the substrate in the presence of the gas mixture and the remote plasma.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: September 7, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bhargav Citla, Jethro Tannos, Jingyi Li, Douglas A. Buchberger, Jr., Zhong Qiang Hua, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 11114287
    Abstract: The present application discloses a device for radical monitoring a plasma source for a remote plasma source used in a processing system and includes at least one gas source, a plasma source body having at least one passage having at least one passage surface, a first thermal sensor receiver may be formed within the plasma source body proximate to the passage surface of the passage, a first thermal sensor positioned within the first thermal sensor receiver configured to measure a first temperature of the passage surface, a second thermal sensor receiver formed within the plasma source body proximate to the passage surface of the passage and configured to measure a second temperature of the passage surface of the passage at a second location.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: September 7, 2021
    Assignee: MKS INSTRUMENTS, INC.
    Inventors: Michael Harris, Chiu-Ying Tai, Atul Gupta
  • Patent number: 11101370
    Abstract: A method for forming layers suitable for a V-NAND stack is disclosed. Specifically, the method may include multiple cycles for forming an oxide and a nitride in order to form an oxynitride layer.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: August 24, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Fu Tang, Qi Xie, Jan Willem Maes, Xiaoqiang Jiang, Michael Eugene Givens
  • Patent number: 11094532
    Abstract: There is provided a technique that includes forming a film containing silicon, oxygen, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times, the cycle including: forming a first layer containing silicon, carbon, and nitrogen by performing a set a predetermined number of times, the set including: supplying a first precursor, which contains at least two Si—N bonds and at least one Si—C bond in one molecule, to the substrate; and supplying a second precursor, which contains nitrogen and hydrogen, to the substrate; and forming a second layer by supplying an oxidant to the substrate, to thereby oxidize the first layer.
    Type: Grant
    Filed: January 13, 2020
    Date of Patent: August 17, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Atsushi Sano, Kimihiko Nakatani, Tatsuru Matsuoka, Kenji Kameda, Satoshi Shimamoto
  • Patent number: 11041270
    Abstract: Process for the plasma surface treatment under vacuum of a fabric, nonwoven fabric or paper material for obtaining materials to be used for the filtration and separation of two immiscible liquids.
    Type: Grant
    Filed: May 3, 2017
    Date of Patent: June 22, 2021
    Assignee: Universita' degli Studi Di Milano-Bicocca
    Inventors: Claudia Riccardi, Stefano Zanini
  • Patent number: 11041235
    Abstract: An adhesion promoting layer is formed on a metallic substrate by generating a non-thermal plasma in air at atmospheric pressure, and exposing a surface of the metallic substrate to the plasma. The plasma oxidizes the metallic substrate to form metal oxide from metal atoms of the metallic substrate. The metal oxide is formed as a metal oxide layer disposed directly on an underlying bulk metallic layer of the metallic substrate. Alternatively, the plasma nitridizes the metallic substrate to form metal nitride from metal atoms of the metallic substrate. The metal nitride is formed as a metal nitride layer disposed directly on an underlying bulk metallic layer of the metallic substrate.
    Type: Grant
    Filed: November 22, 2016
    Date of Patent: June 22, 2021
    Assignee: Atmospheric Plasma Solutions, Inc.
    Inventor: Peter Joseph Yancey
  • Patent number: 11037780
    Abstract: A method for manufacturing a semiconductor device includes forming a SiN film on a substrate. Plasma treatment is applied to the SiN film using a He-containing gas.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: June 15, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Toshiaki Iijima, Masaki Tokunaga, Jun Kawahara
  • Patent number: 11028481
    Abstract: Disclosed is an apparatus and method of processing substrate, which facilitates to improve deposition uniformity of a thin film deposited on a substrate, and to control quality of a thin film, wherein the apparatus includes a process chamber; a substrate supporter for supporting at least one of substrates, wherein the substrate supporter is provided in the bottom of the process chamber; a chamber lid confronting the substrate supporter, the chamber lid for covering an upper side of the process chamber; and a gas distributor for locally distributing activated source gas on the substrate, wherein the gas distributor locally confronting the substrate supporter is provided in the chamber lid, wherein the gas distributor forms plasma by the use of plasma formation gas, and activates the source gas by distributing the source gas to some of plasma area for formation of the plasma.
    Type: Grant
    Filed: December 26, 2018
    Date of Patent: June 8, 2021
    Inventors: Chui Joo Hwang, Jeung Hoon Han, Young Hoon Kim, Seung Hoon Seo
  • Patent number: 10985009
    Abstract: Embodiments include a method for forming a carbon containing film. In an embodiment, the method comprises flowing a precursor gas into a processing chamber. For example the precursor gas comprises carbon containing molecules. In an embodiment, the method further comprises flowing a co-reactant gas into the processing chamber. In an embodiment, the method further comprises striking a plasma in the processing chamber. In an embodiment plasma activated co-reactant molecules initiate polymerization of the carbon containing molecules in the precursor gas. Embodiments may also include a method that further comprises depositing a carbon containing film onto a substrate in the processing chamber.
    Type: Grant
    Filed: April 3, 2019
    Date of Patent: April 20, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Lakmal Charidu Kalutarage, Mark Saly, David Thompson, William John Durand, Kelvin Chan, Hanhong Chen, Philip Allan Kraus
  • Patent number: 10950452
    Abstract: A time required to return an inside of the chamber after performing maintenance of the inside of the chamber into a state prior to the maintenance can be shortened. A seasoning method includes a first dry cleaning process of cleaning the inside of the chamber by supplying an O2 gas into the chamber and generating plasma of the O2 gas within the chamber; and a second dry cleaning process of seasoning, after the first dry cleaning process, the inside of the chamber by supplying a processing gas containing fluorine into the chamber and generating plasma of the processing gas within the chamber.
    Type: Grant
    Filed: August 25, 2016
    Date of Patent: March 16, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshio Ishikawa, Takashi Enomoto, Yutaka Osada
  • Patent number: 10904996
    Abstract: Embodiments described herein generally relate to plasma assisted or plasma enhanced processing chambers. More specifically, embodiments herein relate to electrostatic chucking (ESC) substrate supports configured to provide pulsed DC voltage, and methods of applying a pulsed DC voltage, to a substrate during plasma assisted or plasma enhanced semiconductor manufacturing processes.
    Type: Grant
    Filed: September 20, 2017
    Date of Patent: January 26, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Travis Lee Koh, Haitao Wang, Philip Allan Kraus, Vijay D. Parkhe, Daniel Distaso, Christopher A. Rowland, Mark Markovsky, Robert Casanova
  • Patent number: 10895007
    Abstract: An evaporation apparatus including a material source, a chamber, a passageway, and a heating component is provided. The material source is configured to provide a deposition material. The chamber includes a manifold. The passageway is configured to be connected to the material source and the manifold. The heating component is disposed in at least a portion of the passageway and configured to heat the deposition material. A calibration method of the evaporation apparatus is also provided.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: January 19, 2021
    Assignee: Industrial Technology Research Institute
    Inventors: Yu-Lin Hsu, Chien-Hung Lin, Kuo-Hsin Huang, Chao-Feng Sung, Chih-Ming Lai, Hung-Yi Chang
  • Patent number: 10854431
    Abstract: A plasma processing method includes executing an etching process that includes supplying an etching gas into a process container in which a target substrate is supported on a second electrode serving as a lower electrode, and applying an RF power for plasma generation and an RF power for ion attraction to turn the etching gas into plasma and to subject the target substrate to etching. The etching process includes applying a negative DC voltage to a first electrode serving as an upper electrode during the etching to increase an absolute value of self-bias on the first electrode. The etching process includes releasing DC electron current generated by the negative DC voltage to ground through plasma and a conductive member disposed as a ring around the first electrode, by using a first state where the conductive member is connected to a ground potential portion.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: December 1, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akira Koshiishi, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Yoshinobu Ohya, Manabu Iwata, Daisuke Yano, Yohei Yamazawa, Hidetoshi Hanaoka, Toshihiro Hayami, Hiroki Yamazaki, Manabu Sato
  • Patent number: 10845715
    Abstract: Implementations described herein relate to apparatus for post exposure processing. More specifically, implementations described herein relate to field-guided post exposure process chambers and cool down/development chambers used on process platforms. In one implementation, a plurality of post exposure process chamber and cool/down development chamber pairs are positioned on a process platform in a stacked arrangement and utilize a shared plumbing module. In another implementation, a plurality of post exposure process chamber and cool down/development chambers are positioned on a process platform in a linear arrangement and each of the chambers utilize an individually dedicated plumbing module.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: November 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Viachslav Babayan, Ludovic Godet, Kyle M. Hanson, Robert B. Moore
  • Patent number: 10835908
    Abstract: Productivity can be improved. A substrate processing method includes a processing liquid supplying process of supplying a processing liquid, which contains a volatile component and forms a film on a substrate, onto the substrate on which a pre-treatment, which requires atmosphere management or time management after the pre-treatment, is performed; and an accommodating process of accommodating, in a transfer container, the substrate on which the processing liquid is solidified or cured by volatilization of the volatile component.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: November 17, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Miyako Kaneko, Takehiko Orii, Itaru Kanno
  • Patent number: 10822701
    Abstract: A CVD or PVD coating device comprises a housing and a gas inlet organ secured to the housing via a retaining device, the gas inlet organ having a gas outlet surface with gas outlet openings. The retaining device is only secured at its horizontal edge to the housing so as to stabilize the retaining device with respect to deformations and temperature. The gas inlet organ is secured, at a plurality of suspension points, to the retaining device by means of a plurality of hanging elements distributed over the entire horizontal surface of the retaining device. The retaining device has mechanical stabilization elements formed by a retaining frame having vertical walls that are interconnected at vertical connection lines. An actively cooled heat shield is situated between the retaining device and the gas inlet organ.
    Type: Grant
    Filed: November 18, 2015
    Date of Patent: November 3, 2020
    Assignee: AIXTRON SE
    Inventors: Walter Franken, Bernhard Zintzen, Henricus Wilhelmus Aloysius Janssen
  • Patent number: 10790140
    Abstract: In one implementation, a method comprising depositing one or more silicon oxide/silicon nitride containing stacks on a substrate positioned in a processing chamber is provided. Depositing the one or more silicon oxide/silicon nitride containing stacks comprises (a) energizing a first process gas into a first plasma, (b) depositing a first film layer over the substrate from the first plasma, (c) energizing a second process gas into a second plasma, wherein the second process gas comprises a compound having at least one silicon-nitrogen bond and (d) depositing a second film layer on the first film layer from the second plasma. The method further comprises repeating (a), (b), (c), and (d) until a predetermined number of first film layers and second film layers have been deposited on the substrate. The first film layer is a silicon oxide layer and the second film layer is a silicon nitride layer.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: September 29, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xinhai Han, Deenesh Padhi, Masaki Ogata, Yinan Zhang, Shaunak Mukherjee
  • Patent number: 10787736
    Abstract: A polysilicon manufacturing apparatus according to an exemplary embodiment of the present invention includes: a reactor in which a reactive gas is introduced to perform a polysilicon manufacturing process by a chemical vapor deposition (CVD) method; and a slit-type nozzle installed at the reactor and spraying a gas inside the reactor to prevent absorption of silicon particles during a process.
    Type: Grant
    Filed: December 27, 2017
    Date of Patent: September 29, 2020
    Assignee: HANWHA CHEMICAL CORPORATION
    Inventors: Hee Dong Lee, Ji Ho Kim, Sung Eun Park, Hyo Jin Jeon
  • Patent number: 10761029
    Abstract: Specialized linkage assemblies for Laser-Induced Breakdown Spectroscopy (“LIBS”) systems are provided. The linkage assemblies may facilitate the attachment of the laser housing of the LIBS system onto an existing sample supply chamber, such as a volumetric or gravimetric feeder. Generally, the linkage assemblies may comprise a specialized purge head and inert gas assembly that facilitate the attachment of the laser housing and may enhance the functionality of the LIBS system.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: September 1, 2020
    Assignee: Schenck Process LLC
    Inventors: Randy James Monahan, John Joseph Nowakowski, Lauren Elizabeth Callahan, Geoffrey K. Urbanek
  • Patent number: 10748783
    Abstract: The present disclosure relates to high pressure processing apparatus for semiconductor processing. The apparatus described herein include a high pressure process chamber and a containment chamber surrounding the process chamber. A high pressure fluid delivery module is in fluid communication with the high pressure process chamber and is configured to deliver a high pressure fluid to the process chamber.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: August 18, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Adib M. Khan, Qiwei Liang, Sultan Malik, Srinivas D. Nemani
  • Patent number: 10676813
    Abstract: This invention provides a deposition apparatus which forms a film on a substrate, comprising: a rotation unit configured to rotate a target about a rotating axis; a striker configured to generate an arc discharge; a driving unit configured to drive the striker so as to make a close state which the striker closes to a side surface around the rotating axis of the target to generate the arc discharge; and a control unit configured to control rotation of the target by the rotation unit so as to change a facing position on the side surface of the target facing the striker in the close state.
    Type: Grant
    Filed: July 15, 2016
    Date of Patent: June 9, 2020
    Assignee: CANON ANELVA CORPORATION
    Inventor: Masahiro Atsumi
  • Patent number: 10640869
    Abstract: A method of manufacturing a semiconductor device, includes: supplying precursor gas into process chamber in which plural substrates are accommodated by sequentially performing: supplying inert gas at first inert gas flow rate from first nozzle into the process chamber; supplying the inert gas at second inert gas flow rate higher than the first inert gas flow rate from the first nozzle into the process chamber while supplying precursor gas from the first nozzle into the process chamber; and supplying the inert gas at the first inert gas flow rate from the first nozzle into the process chamber while the process chamber is evacuated from an upstream side of flow of the precursor gas; stopping supply of the precursor gas; removing the precursor gas remaining in the process chamber; supplying reaction gas from a second nozzle into the process chamber; and removing the reaction gas remaining in the process chamber.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: May 5, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Kazuyuki Okuda, Masayoshi Minami, Yoshinobu Nakamura, Kosuke Takagi, Yukinao Kaga, Yuji Takebayashi
  • Patent number: 10633736
    Abstract: A film formation apparatus includes a carrying unit circulating and carrying an electronic component in a sputtering chamber, a film formation processing unit including a mount surface and a mount member which is mounted on the mount surface, and on which the electronic component is placed. The mount member includes a holding sheet having an adhesive surface, and a non-adhesive surface, and a sticking sheet having a first sticking surface with adhesiveness sticking to the non-adhesive surface, and a second sticking surface with adhesiveness sticking to the mount surface of the tray. The adhesive surface includes a pasting region for pasting the electronic components. The first sticking surface sticks across an entire region of the non-adhesive surface corresponding to at least the pasting region to provide a file formation apparatus which employs a simple structure that is capable of suppressing heating of electronic components.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: April 28, 2020
    Assignee: SHIBAURA MECHATRONICS CORPORATION
    Inventors: Akihiko Ito, Yoshinao Kamo, Shigeki Matsunaka, Atsushi Fujita
  • Patent number: 10593560
    Abstract: Exemplary magnetic induction plasma systems for generating plasma products are provided. The magnetic induction plasma system may include a first plasma source including a plurality of first sections and a plurality of second sections arranged in an alternating manner and fluidly coupled with each other such that at least a portion of plasma products generated inside the first plasma source may circulate through at least one of the plurality of first sections and at least one of the plurality of second sections inside the first plasma source. Each of the plurality of second sections may include a dielectric material. The system may further include a plurality of first magnetic elements each of which may define a closed loop. Each of the plurality of second sections may define a plurality of recesses for receiving one of the plurality of first magnetic elements therein.
    Type: Grant
    Filed: March 1, 2018
    Date of Patent: March 17, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Tae Seung Cho, Soonwook Jung, Junghoon Kim, Satoru Kobayashi, Kenneth D. Schatz, Soonam Park, Dmitry Lubomirsky
  • Patent number: 10583494
    Abstract: A coated drill includes a substrate; a coating layer formed on the substrate, and a chisel edge portion and a margin portion. An alternating laminate structure of a first composite nitride layer including a compound having a composition represented by the formula (Al1-xCrx)N, where x satisfies 0.10?x?0.60 and a second composite nitride layer including a compound having a composition represented by the formula (Ti1-ySiy)N, where, y satisfies 0.05?y?0.30 are in the chisel edge portion and the margin portion. An atomic ratio of the Cr element based on the total of the Al element and the Cr element in the first composite nitride layer is greater in the chisel edge portion than in the margin portion.
    Type: Grant
    Filed: September 14, 2018
    Date of Patent: March 10, 2020
    Assignee: TUNGALOY CORPORATION
    Inventor: Takao Katagiri
  • Patent number: 10561990
    Abstract: The invention relates to a combined method for gentle molecular surface functionalisation of the very thin, selectively-acting separating layer which preferably consists of aromatic polyamides, polyurethanes and/or polyureas, of thin-film composite membranes for reverse osmosis (hyperfiltration) and for nanofiltration, subsequently collectively termed water-filtration membranes, in order to achieve a passive antifouling effect without impairing the selectivity of the water-selective separating layer made of polyamides and the water-permeability of the membrane.
    Type: Grant
    Filed: August 12, 2015
    Date of Patent: February 18, 2020
    Assignees: Fraunhofer-Gesellschaft zur förderung der angewandten Forschung e.V., IAB Ionenaustauscher GmbH
    Inventors: Uwe Spohn, Ulrike Hirsch, Marco Rühl, Nico Teuscher, Andreas Heilmann, Carsten Schellenberg
  • Patent number: 10526701
    Abstract: Methods of depositing uniform films on substrates using multi-cyclic atomic layer deposition techniques are described. Methods involve varying one or more parameter values from cycle to cycle to tailor the deposition profile. For example, some methods involve repeating a first ALD cycle using a first carrier gas flow rate during precursor exposure and a second ALD cycle using a second carrier gas flow rate during precursor exposure. Some methods involve repeating a first ALD cycle using a first duration of precursor exposure and a second ALD cycle using a second duration of precursor exposure.
    Type: Grant
    Filed: July 30, 2015
    Date of Patent: January 7, 2020
    Assignee: Lam Research Corporation
    Inventors: Purushottam Kumar, Adrien LaVoie, Hu Kang, Jun Qian, Tuan Nguyen, Ye Wang
  • Patent number: 10522738
    Abstract: An electronic device includes a semiconductor memory, wherein the semiconductor memory includes a variable resistance element formed over a substrate, and a multi-layer passivation layer positioned over sidewalls of the variable resistance element and having two or more insulating layers formed over the sidewalls of the variable resistance element.
    Type: Grant
    Filed: January 26, 2017
    Date of Patent: December 31, 2019
    Assignee: SK hynix Inc.
    Inventor: Ga-Young Ha
  • Patent number: 10512988
    Abstract: The present invention provides a coated solder material that is capable of preventing the advancement of oxidation of the surface during long-term storage and when melted, and that has excellent wetting extendability and bondability, without the occurrence of gaps in the bonded areas. A coating film is formed on the surface of a solder material; the coating film including a carbon compound that is formed by introducing an organic compound having a carbon number of 8 or less together with a carrier gas into a reaction gas that has been plasmatized under atmospheric pressure, and after a radicalized organic compound has been formed by radicalizing the organic compound, causing the radicalized organic compound to react with the metal on the surface of the solder material; the thickness of the coating film is 4 nm to 200 nm, and when heated at 150° C. to 300° C. and melted, the mass-reduction rate is 60% or greater.
    Type: Grant
    Filed: March 24, 2015
    Date of Patent: December 24, 2019
    Assignee: SUMITOMO METAL MINING CO., LTD.
    Inventors: Hiroshi Kobayashi, Hidetoshi Yamabe, Kyoko Miyauchi
  • Patent number: 10504715
    Abstract: A processing chamber system includes a substrate mounting module configured to secure a substrate within a first processing chamber. The system also includes a first deposition module configured to apply a light-sensitive film to a front side surface of the substrate, and a second deposition module configured to apply a film layer to a backside surface of the substrate. The front side surface is opposite to the backside surface of the substrate. A substrate has a bare backside surface with a first coefficient of friction. A film layer is formed onto the backside surface of the substrate. The film layer formed on the backside surface of the substrate has a second coefficient of friction. The second coefficient of friction is lower than the first coefficient of friction.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: December 10, 2019
    Assignee: Tokyo Electron Limited
    Inventor: Hoyoung Kang
  • Patent number: 10480076
    Abstract: A plasma enhanced chemical vapor deposition (PECVD) apparatus including a chamber; an upper electrode in the chamber; a spray unit in the upper electrode to spray a gas introduced from outside the chamber toward a substrate inside the chamber; a susceptor on which the substrate is mountable; a plurality of mask supports in a mask frame at an edge of the susceptor, the mask supports being formed of a conductive material that provides elastic force by supporting a mask to maintain and control a level of the mask; and a power supply unit to supply power to the upper electrode.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: November 19, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jai Hyuk Choi, Won Woong Park, Sung Hun Key, Min Soo Kim, Byeong Chun Lee, Suk Won Jung, Hyun Woo Joo, Myung Soo Huh
  • Patent number: 10478872
    Abstract: A method for treatment of a plastic transport box for conveyance and atmospheric storage of substrates including walls bounding a volume intended for storage of substrates, and a station for treatment of transport boxes for conveyance and atmospheric storage of substrates, the method including: at least one plasma treatment in which at least one interior wall of the transport box is subjected to a plasma of a treatment gas at a gas pressure lower than 10000 pascals.
    Type: Grant
    Filed: July 3, 2015
    Date of Patent: November 19, 2019
    Assignee: PFEIFFER VACUUM
    Inventors: Julien Palisson, Catherine Le Guet