Silicon Containing Coating Patents (Class 427/583)
  • Patent number: 11696964
    Abstract: An ultraviolet device is provided, which includes a gas jetting module, a flow rate adjustment module, an ultraviolet module and a first gas channel. The gas jetting module includes an upper panel, a lower panel and a frame. The upper panel is disposed on the upper side of the frame. The lower panel is disposed on the lower side of the frame and includes one or more gas outlets. A gas chamber is formed between the upper panel, lower panel and frame. The first gas channel is connected to the gas jetting module. The flow rate adjustment module is connected to the first gas channel and adjusts the flow rate of the gas inputted into the gas chamber via the first gas channel. The ultraviolet module includes an ultraviolet light source and is connected to the gas jetting module.
    Type: Grant
    Filed: February 3, 2021
    Date of Patent: July 11, 2023
    Assignee: SOULNANO LIMITED
    Inventors: Hung-Hsin Hsieh, Cho-Hang Wong
  • Patent number: 9833309
    Abstract: A vascular implant, comprising a sheet comprising thin film nickel titanium (NiTi), wherein the sheet has at least one super-hydrophilic surface having a water contact angle of less than approximately 5 degrees. The sheet is configured to have a compacted form having a first internal diameter and a deployed form having a second internal diameter larger than the first internal diameter. The sheet may be delivered into a blood vessel in the compacted form and expanded to its deployed form at a treatment location within the blood vessel, wherein the stent is configured to expand onto an internal surface of the blood vessel and exert a radial force on said internal surface.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: December 5, 2017
    Assignee: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Daniel S. Levi, Gregory P. Carman, Youngjae Chun, Fernando Vinuela
  • Patent number: 9824881
    Abstract: Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%).
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: November 21, 2017
    Assignee: ASM IP HOLDING B.V.
    Inventors: Antti J. Niskanen, Shang Chen, Viljami Pore
  • Patent number: 9123527
    Abstract: Embodiments of the invention provide methods for processing a substrate within a processing chamber. In one embodiment, the method comprises providing a precursor gas mixture into the processing chamber, the precursor gas mixture comprising a deposition precursor gas and an etch precursor gas, subjecting the precursor gas mixture to a thermal energy from a heat source to deposit a material layer on a surface of the substrate, wherein the thermal energy is below the minimum required for pyrolysis of the etch precursor gas, and after the material layer is formed on the surface of the substrate, subjecting the precursor gas mixture to a photon energy from a radiation source, the photon energy having a wavelength and a power level selected to promote photolytic dissociation of the etch precursor gas over the deposition precursor gas and etch a portion of the material layer from the surface of the substrate.
    Type: Grant
    Filed: February 21, 2014
    Date of Patent: September 1, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Stephen Moffatt
  • Patent number: 8673407
    Abstract: Three dimensional optical structures are described that can have various integrations between optical devices within and between layers of the optical structure. Optical turning elements can provide optical pathways between layers of optical devices. Methods are described that provide for great versatility on contouring optical materials throughout the optical structure. Various new optical devices are enabled by the improved optical processing approaches.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: March 18, 2014
    Assignee: NeoPhotonics Corporation
    Inventors: Xiangxin Bi, Elizabeth Anne Nevis, Ronald J. Mosso, Michael Edward Chapin, Shivkumar Chiruvolu, Sardar Hyat Khan, Sujeet Kumar, Herman Adrian Lopez, Nguyen Tran The Huy, Craig Richard Horne, Michael A. Bryan, Eric Euvrard
  • Patent number: 8629076
    Abstract: A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust. Carbon aerogels can be coated with sol-gel silica and the silica can be converted to silicon carbide, improving the thermal stability of the carbon aerogel.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: January 14, 2014
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Marcus A. Worsley, Joshua D. Kuntz, Theodore F. Baumann, Joe H. Satcher, Jr.
  • Patent number: 8546276
    Abstract: Disclosed are group IV metal-containing precursors and their use in the deposition of group IV metal-containing films {nitride, oxide and metal) at high process temperature. The use of cyclopentadienyl and imido ligands linked to the metal center secures thermal stability, allowing a large deposition temperature window, and low impurity contamination. The group IV metal (titanium, zirconium, hafnium)-containing f{umlaut over (?)}m depositions may be carried out by thermal and/or plasma-enhanced CVD, ALD, and pulse CVD.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: October 1, 2013
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Julien Gatineau, Changhee Ko
  • Patent number: 8420170
    Abstract: Disclosed is a deposition process for forming a glass film. An embodiment comprising the steps of disposing a substrate in a chemical vapor deposition chamber and exposing the substrate surface to a SiO2 precursor gas, a carrier gas, and optionally a dopant gas in the presence of ozone and exposing the reaction volume of the gases above the substrate surface to a high intensity light source.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: April 16, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ravi Iyer
  • Patent number: 8360001
    Abstract: Chemical vapor deposition processes utilize chemical precursors that allow for the deposition of thin films to be conducted at or near the mass transport limited regime. The processes have high deposition rates yet produce more uniform films, both compositionally and in thickness, than films prepared using conventional chemical precursors. In preferred embodiments, a higher order silane is employed to deposit thin films containing silicon that are useful in the semiconductor industry in various applications such as transistor gate electrodes.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: January 29, 2013
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Mark Hawkins
  • Patent number: 7763327
    Abstract: A CVD ozone (O3) deposition process, with the preferred embodiment comprising the steps of disposing a substrate in a chemical vapor deposition chamber and exposing the substrate surface to a SiO2 precursor gas, a carrier gas, and optionally a dopant gas in the presence of ozone and exposing the reaction volume of the 5 gases above the substrate surface to a high intensity light source, to increase the functional atomic oxygen concentration and reduce the fixed charge in the deposited films.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: July 27, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ravi Iyer
  • Patent number: 7695774
    Abstract: The present invention provides a titanium oxide photocatalytic thin film having a surface layer containing silicon oxide and titanium oxide and a production method for producing a titanium oxide photocatalytic thin film having a surface layer containing silicon oxide and titanium oxide and comprising a step of radiating excimer beam to the titanium oxide thin film while heating substrate on which the titanium oxide thin film is disposed in vacuum or gas atmosphere in the presence of a silicon-including compound.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: April 13, 2010
    Assignees: Fuji Xerox Co., Ltd., Toudai TLO, Ltd.
    Inventors: Shigemi Ohtsu, Tatsuya Maruyama, Eiichi Akutsu, Kazuhito Hashimoto
  • Patent number: 7491431
    Abstract: Methods for forming coated substrates can be based on depositing material from a flow onto a substrate in which the coating material is formed by a reaction within the flow. In some embodiments, the product materials are formed in a reaction driven by photon energy absorbed from a radiation beam. In additional or alternative embodiments, the flow with the product stream is directed at the substrate. The substrate may be moved relative to the flow. Coating materials can be formed with densities of 65 percent to 95 percent of the fully densified coating material with a very high level of coating uniformity.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: February 17, 2009
    Assignee: NanoGram Corporation
    Inventors: Shivkumar Chiruvolu, Michael Edward Chapin
  • Patent number: 7459187
    Abstract: An object of the present invention is to make it possible to uniformly supply of a gas onto a base material in a way simpler and lower in cost, and thus, to realize a high-quality surface treatment. For that purpose, in surface treatment of a base material (12) by supplying a surface-treating gas on the surface of the base material (12) while conveying it in a particular direction, the peripheral surface of a rotor having a cylindrical peripheral surface (24) is made to face, via a gap (23), the surface of the base material (12) or an opposing member (20) formed at a position separated from the base material and the rotor is rotated around the axis in the direction almost perpendicular to the base material (12)-conveying direction, as the means for supplying the surface-treating gas. By the rotation, the surface-treating gas is dragged in by the peripheral surface of the rotor (24), guided into the gap (23), and then, fed from the gap (23) onto the surface of the base material (12).
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: December 2, 2008
    Assignees: Kabushiki Kaisha Kobe Seiko Sho, Asahi Glass Co., Ltd.
    Inventors: Kazushi Hayashi, Toshihiro Kugimiya, Takashi Kobori, Junichi Ebisawa, Kazuo Sato, Yukio Yoshikawa
  • Patent number: 7112453
    Abstract: This invention provides methods of retentate chromatography for resolving analytes in a sample. The methods involve adsorbing the analytes to a substrate under a plurality of different selectivity conditions, and detecting the analytes retained on the substrate by desorption spectrometry. The methods are useful in biology and medicine, including clinical diagnostics and drug discovery.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: September 26, 2006
    Assignee: Ciphergen Biosystems, Inc.
    Inventors: T. William Hutchens, Tai-Tung Yip
  • Patent number: 6808758
    Abstract: A process for producing thin layers in electronic devices such as integrated circuit chips, is provided. The process includes the steps of injecting a precursor fluid into a thermal processing chamber containing a substrate, such as a semiconductor wafer. The precursor fluid is converted into a solid which forms a layer on the substrate. In accordance with the present invention, the precursor fluid is pulsed into the process chamber in a manner such that the fluid is completly exhausted or removed from the chamber in between each pulse. Light energy can be used in forming the solid layers.
    Type: Grant
    Filed: June 9, 2000
    Date of Patent: October 26, 2004
    Assignee: Mattson Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6794270
    Abstract: A method for forming thoroughly deposited shallow trench isolation. A first oxide layer is formed conformally over the surface of a semiconductor substrate and on a trench thereon with an aspect ratio greater than 3. A liquid etching shield is filled in the trench by spin-spraying to cover the oxide layer in the trench. An etchant is then sprayed over the surface of the semiconductor substrate to remove the uncovered oxide layer and expose the surface of the semiconductor substrate. The density of the etchant is less than that of the liquid etching shield. A second oxide layer is deposited in the trench to form isolation without voids or seams.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: September 21, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Pei-Ing Lee, Chang Rong Wu, Tzu En Ho, Yi-Nan Chen, Hsien Wen Su
  • Patent number: 6635589
    Abstract: Silicon oxide films which are good as gate insulation films are formed by subjecting a silicon oxide film which has been formed on an active layer comprising a silicon film by means of a PVD method or CVD method to a heat treatment at 300-700° C. in a dinitrogen monoxide atmosphere, or in an NH3 or N2H4 atmosphere, while irradiating with ultraviolet light, reducing the hydrogen and carbon contents in the silicon oxide film and introducing nitrogen into the boundary with the silicon film in particular. Furthermore, silicon oxide films which are good as gate insulating films have been formed by subjecting silicon oxide films which have been formed on an active layer comprising a silicon film by means of a PVD method or CVD method to a heat treatment at 300-700° C. in an N2O atmosphere (or hydrogen nitride atmosphere) while irradiating with ultraviolet light, and then carrying out a heat treatment at 300-700° C.
    Type: Grant
    Filed: April 7, 1999
    Date of Patent: October 21, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuhiko Takemura, Mitsunori Sakama, Tomohiko Sato, Satoshi Teramoto, Shigefumi Sakai
  • Patent number: 6586056
    Abstract: A method for near atmospheric pressure chemical vapor deposition of a silicon based film onto a substrate includes introducing into a deposition chamber at about atmospheric pressure: (i) a substrate; (ii) an iodosilane precursor in the vapor state having at least three iodine atoms bound to silicon; and (iii) at least one reactant gas; and maintaining a deposition temperature within the chamber from about 250° C. to about 650° C. for a period of time sufficient to deposit a silicon based film on the substrate. Silicon based films formed by near atmospheric pressure chemical vapor deposition using an iodosilane precursor in a vapor state and methods for forming silicon-based films using ultraviolet assisted chemical vapor deposition are also included.
    Type: Grant
    Filed: February 19, 2002
    Date of Patent: July 1, 2003
    Assignee: Gelest, Inc.
    Inventors: Barry C. Arkles, Alain E. Kaloyeros
  • Patent number: 6578381
    Abstract: The oxides-enclosed fine glass particles are arranged such that two or more pieces of at least two kinds of enclosing particles, which comprise oxides, double oxides, or salts of oxyacids, or double oxides or double salts thereof, are enclosed in each of the fine glass particles. The fine particles can be easily manufactured by mixing a powder material of glass with a powder material of oxides which comprise oxides, double oxides, or salts of oxyacids, or double oxides or double salts thereof that are not made to glass; converting the thus obtained mixture of the materials into a mixture in a vapor-state by supplying the thermal plasma thereto; and quickly cooling the mixture in the vapor-state. Highly-scattered fine particles of oxides can be easily obtained from the fine particles, and thus a plurality of kinds of fine particles of oxides can be evenly and uniformly mixed in a small amount with a mother material without being unevenly scattered.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: June 17, 2003
    Assignees: Nisshin Seifun Group Inc., Nisshin Engineering Inc.
    Inventors: Keiichi Nishimura, Takashi Fujii, Kazuhiro Yubuta, Sadao Shinozaki
  • Patent number: 6576534
    Abstract: A preparing method of a semiconductor, particularly a preparing method of a polycrystal semiconductor film which has a good electrical property is disclosed. In order to obtain a non-crystalline silicon film containing a lot of combination of hydrogen and silicon, a forming process of a non-crystalline silicon film by a low temperature gas phase chemical reaction, a process of a heat annealing to produce a lot of dangling bonds of silicon, so as to draw out hydrogen from said non-crystalline silicon film, and a process of applying a laser irradiation to said non-crystal silicon film having a lot of dangling bond of silicon are conducted.
    Type: Grant
    Filed: February 10, 1998
    Date of Patent: June 10, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Honyong Zhang, Naoto Kusumoto
  • Publication number: 20030012886
    Abstract: The present invention provides a method for forming thin films, wherein thin films with a uniform thickness can be formed on substrates as objects such as spheroids, even when the films are formed by conventional film-formation methods using an incident particle beam coming from a specific direction (e.g., evaporation and sputtering). In the method, thin films are formed on substrates such as spheroids with an incident particle beam coming from a particle source located in a specific direction by performing a spin motion together with a swing motion. The spin motion is a rotation of the substrate at a constant angular velocity about the spheroidal axis. The swing motion is a rotational oscillation of the same substrate for rotationally oscillating the axis at a constant cycle in one surface, where the center of the rotational oscillation is in the vicinity of the midpoint between two focal points on the axis of the spheroid.
    Type: Application
    Filed: September 9, 2002
    Publication date: January 16, 2003
    Applicant: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yuuji Omata, Naotaka Hashimoto, Masahide Yokoyama, Toshiyuki Suemitsu, Takahiro Kitai
  • Patent number: 6472022
    Abstract: The present invention provides a method for forming thin films, wherein thin films with a uniform thickness can be formed on substrates as objects such as spheroids, even when the films are formed by conventional film-formation methods using an incident particle beam coming from a specific direction (e.g., evaporation and sputtering). In the method, thin films are formed on substrates such as spheroids with an incident particle beam coming from a particle source located in a specific direction by performing a spin motion together with a swing motion. The spin motion is a rotation of the substrate at a constant angular velocity about the spheroidal axis. The swing motion is a rotational oscillation of the same substrate for rotationally oscillating the axis at a constant cycle in one surface, where the center of the rotational oscillation is in the vicinity of the midpoint between two focal points on the axis of the spheroid.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 29, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yuuji Omata, Naotaka Hashimoto, Masahide Yokoyama, Toshiyuki Suemitsu, Takahiro Kitai
  • Publication number: 20020146648
    Abstract: An exemplary method of forming an attenuating extreme ultraviolet (EUV) phase-shifting mask is described. This method can include providing a multi-layer mirror over an integrated circuit substrate or a mask blank, providing a buffer layer over the multi-layer mirror, providing a dual element material layer over the buffer layer, and selectively growing features on the integrated circuit substrate or mask blank using a photon assisted chemical vapor deposition (CVD) process when depositing the dual element layer.
    Type: Application
    Filed: February 9, 2001
    Publication date: October 10, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Kouros Ghandehari, Bruno LaFontaine, Bhanwar Singh
  • Patent number: 6258407
    Abstract: Fluorinated chemical precursors, methods of manufacture, polymer thin filmswith low dielectric constants, and integrated circuits comprising primarily of sp2C—F and some hyperconjugated sp3C—F bonds are disclosed in this invention. Precursors are disclosed for creating fluorinated silanes and siloxanes, and fluorinated hydrocarbon polymers. Thermal transport polymerization (TP), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), high density PECVD (HDPCVD), photon assisted CVD (PACVD), and plasma-photon assisted (PPE) CVD and PPETP of these chemicals provides thin films with low dielectric constants and high thermal stabilities for use in the manufacture of integrated circuits.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: July 10, 2001
    Assignee: Quester Technology, Inc.
    Inventors: Chung J. Lee, Hui Wang, Giovanni Antonio Foggiato
  • Patent number: 6224934
    Abstract: An ozone-processing apparatus for a semiconductor process system includes an airtight process chamber and a lamp chamber, which are partitioned by a window for transmitting ultraviolet rays. A plurality of ultraviolet-ray lamps is arrayed along the window in the lamp chamber. A measurement space is defined between the window and the lamps in the lamp chamber. The lamp chamber is provided with a mount portion to set up a measuring unit therein. The measuring unit includes a sensor to be inserted into the measuring space, for measuring the light quantity of the lamps. The sensor is movable in a direction in which the lamps are arrayed.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: May 1, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Hasei, Kenji Ishikawa, Qian Shao Shou, Tetsuya Nakano
  • Patent number: 6150430
    Abstract: Described is a process for producing an adherent organic polymeric layer on organic polymeric substrates following the steps of (a) treating the surface of the polymeric substrate to provide reactive groups; (b) applying to the treated surface a polymerizable composition of a surface modifying amount of an organofunctional silane, a catalyzing amount of material which generates acid upon exposure to actinic radiation, and a solvating amount of solvent; (c) exposing the coated surface to an adhesion improving amount of actinic radiation; and (d) applying and curing a photochromic or non-photochromic polymer-forming composition on the coated surface. Also described are articles produced by the process.
    Type: Grant
    Filed: July 6, 1999
    Date of Patent: November 21, 2000
    Assignee: Transitions Optical, Inc.
    Inventors: Robert W. Walters, Kevin J. Stewart
  • Patent number: 6117283
    Abstract: A silicon coating on an air bearing surface for magnetic thin film heads. A thick silicon layer is provided to replace metallic layers such as TiW as an overcoat for thin film heads. The silicon layer will provide a durable head-disk interface and act as a reflective surface for fly height measurement. The silicon layer can be planarized with the pole tips to avoid any magnetic spacing loss. The thickness of the silicon coating is preferably between 125 and 6500 Angstroms thick. The slider body may be fabricated from silicon such that the silicon coating is substantially identical to the silicon slider body, thereby preventing thermal mismatch therebetween. The silicon coating is preferably applied using a magnetron sputtering technique which provides a high rate of deposition of silicon to form a dense, low stress silicon layer.
    Type: Grant
    Filed: December 5, 1996
    Date of Patent: September 12, 2000
    Assignee: International Business Machines Corporation
    Inventors: Pei C. Chen, Grace Lim Gorman, Cherngye Hwang, Vedantham Raman, Randall George Simmons
  • Patent number: 6096700
    Abstract: A non-chrome process for the pretreatment of substrate surfaces to simultaneously clean them and improve their bonding strength for organic coatings such as adhesives, protective primers, sealants, paints, composites and similar materials conventionally bonded to such substrates, including non-chromated or chromated curable organic resin protective coatings applied directly to bare aluminum substrates. The invention involves the use of novel wipe solvent compositions containing a major volume of an environmentally-safe volatile organic solvent which has a low composite vapor pressure or is otherwise exempt from federal, state or local regulations, and a minor volume of a polyfunctional coupling agent, preferably of the silane type.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: August 1, 2000
    Assignee: Northrop Grumman Corporation
    Inventors: John Douglas Weir, Joanne Swiderski McLaughlin
  • Patent number: 5846609
    Abstract: A method of forming a mask including providing a fluid from a group including oxygen based, nitrogen based, or carbon based fluids, introducing a substrate of semiconductor material into the fluid, and growing a film with thickness in a range of 10-20 .ANG. on a surface by converting the fluid adjacent the surface into a reactive species. The reactive species is created by directing light having a wavelength at the absorption peak of the fluid so as to convert the fluid into the reactive species. The surface of the substrate reacts with the reactive species to form the film.
    Type: Grant
    Filed: January 3, 1997
    Date of Patent: December 8, 1998
    Assignee: Motorola, Inc.
    Inventor: Kumar Shiralagi
  • Patent number: 5800880
    Abstract: A process for creating a SiOx barrier layer on the interior wall of a container is disclosed in the present invention. A gas mixture consisting of an organosilicon precursor gas, an oxidizer gas and a carrier gas is introduced into a polymeric container. The gas mixture is then irradiated with ultraviolet light to create a reaction within the container. The reacted gas then coats the interior wall of the container with a SiOx barrier layer. The remainder of the gas mixture is then purged from the container. The gas mixture may also be utilized to blow mould a container. The polymeric container may be composed of polyethylene, polypropylene, copolymers of polypropylene, copolymers of polyethylene, polyethylene terphthalate, copolymers of polyethylene terphthalate or mixtures thereof. An excimer ultraviolet lamp is preferred to irradiate the gas mixture inside of the container. The process may be performed at a station on a manufacturing line, or at an off-line site.
    Type: Grant
    Filed: March 26, 1997
    Date of Patent: September 1, 1998
    Assignee: Tetra Laval Holdings & Finance, S.A.
    Inventor: Jacques Laurent
  • Patent number: 5756154
    Abstract: A method of masking surfaces during fabrication of semiconductor devices is disclosed, which includes providing a substrate, and in a preferred embodiment a silicon substrate. The surface is hydrogen terminated (or hydrogenated) and a metal mask is positioned on the surface so as to define a growth area and an unmasked portion on the surface. Ozone is generated at the surface, at least in the unmasked area, by exposing the surface to a light having a wavelength approximately 185 nm (an oxygen absorbing peak), so as to grow an oxide film on the unmasked portion of the surface. The metal mask is removed and the oxide film then serves as a mask for further operations and can be easily removed in situ by heating.
    Type: Grant
    Filed: January 5, 1996
    Date of Patent: May 26, 1998
    Assignee: Motorola, Inc.
    Inventors: Kumar Shiralagi, Raymond Tsui, Herbert Goronkin
  • Patent number: 5753320
    Abstract: A process for forming a deposited film on a substrate according to the chemical vapor deposition method comprises previously forming excited species of a gas phase compound containing atoms which become constituents constituting said deposited film, supplying the excited species onto the surface of said substrate and effecting photoirradiation on said substrate surface, thereby forming the deposited film through the surface reaction.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: May 19, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuo Mikoshiba, Tadahiro Ohmi, Kazuo Tsubouchi, Kazuya Masu, Nobumasa Suzuki
  • Patent number: 5728224
    Abstract: An apparatus and process are disclosed for depositing a barrier layer, such as an SiOx barrier layer, onto a moving web of substrate material in a continuous process at atmospheric pressure using a gaseous phase precursor and an oxidizer.
    Type: Grant
    Filed: September 13, 1995
    Date of Patent: March 17, 1998
    Assignee: Tetra Laval Holdings & Finance S.A.
    Inventors: Jacques A. Laurent, Wolfgang Decker
  • Patent number: 5654230
    Abstract: A doped film forming method comprising, the steps of preparing gas source for supplying a film forming gas into the process tube, gas source for supplying doping gases, in which a dope is included, into the process tube, a dry pump for exhausting the process tube, and an apparatus for burning a not-reacted element in waste gas, arranging a plurality of substrates in the process tube in such a way that they are separated from their adjacent ones by a certain interval, exhausting the process tube to keep it reduced in pressure, heating the substrates in the process tube to a temperature range of 500.degree.-600.degree. C., controlling amounts of the doping and film forming gases, while exhausting the process tube, at the ratio of the amount of the film forming gas to the amount of the doping gases being in the range of 1 to 1.625.times.10.sup.-3 to 2.125.times.10.sup.-3, and causing the doping and film forming gases to be reacted with the substrates.
    Type: Grant
    Filed: April 7, 1995
    Date of Patent: August 5, 1997
    Assignees: Tokyo Electron Limited, Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shinichi Jintate, Yoshihiko Okamoto, Toshiharu Nishimura, Atsushi Hosaka
  • Patent number: 5641545
    Abstract: A method for chemical vapor deposition onto high aspect ratio features. Process gases including a reactant species are supplied to the surface and sufficient primary energy is supplied to the surface so as to cause the reactant species to deposit on the surface. Additional energy is supplied, preferably in the form of optical energy, that is tuned to be captured by the patterned features so as to slow the deposition rate preferentially on the patterned features.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: June 24, 1997
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 5629245
    Abstract: An improved CVD apparatus for depositing a uniform film is shown. The apparatus comprises a reaction chamber, a substrate holder and a plurality of light sources for photo CVD or a pair of electrodes for plasma CVD. The substrate holder is a cylindrical cart which is encircled by the light sources, and which is rotated around its axis by a driving device. With this configuration, the substrates mounted on the cart and the surroundings can be energized by light of plasma evenly throughout the surfaces to be coated.
    Type: Grant
    Filed: January 23, 1995
    Date of Patent: May 13, 1997
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takashi Inushima, Shigenori Hayashi, Toru Takayama, Masakazu Odaka, Naoki Hirose
  • Patent number: 5624720
    Abstract: A process for forming a deposition film comprises introducing a gaseous starting material for forming a deposition film and a gaseous oxidizing agent having an oxidation action on the gaseous starting material separately into a reaction space to chemically contact these two, thereby generating a plurality of precursors including precursor in an excited state, and utilizing at least one of the generated precursors as a supply source for film-constituting members, thereby forming a deposition film on a substrate provided in a film-forming space, the deposition film being formed while supplying a bias energy to the substrate and changing the intensity of the bias energy.
    Type: Grant
    Filed: September 21, 1994
    Date of Patent: April 29, 1997
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshiyuki Osada, Jun-Ichi Hanna
  • Patent number: 5593742
    Abstract: An ablation process by which fused deposits of silicon particles are accuated on a substrate of selected material in accordance with whether microclusters of spherical configurations or microfilaments of cylindrical configurations are to be fabricated. Silicon ablation is accomplished in an inert gas atmosphere with an excimer laser that generates light pulses of which the wavelength and frequency are controlled to fix the energy level thereof. The pressure of the inert gas atmosphere is also controlled in accordance with whether microclusters or microfilaments are to be fabricated.
    Type: Grant
    Filed: August 24, 1995
    Date of Patent: January 14, 1997
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventors: Robert A. Lux, James A. Harvey, Arthur Tauber, Steven C. Tidrow
  • Patent number: 5585148
    Abstract: A process for forming a deposited film on a substrate including generating a plasma in a plasma generating chamber via a light transmissive perforated diffusion plate which is located adjacent to a reaction chamber containing the substrate. The plasma thereby excites a gas, which is introduced into the reaction chamber through the light-transmissive perforated diffusion plate. A gaseous starting material for forming the deposited film is introduced into the reaction chamber and reacts with the excited gas. A deposited film is formed on the substrate while irradiating the substrate with a light scattered by the light-transmissive perforated diffusion plate.
    Type: Grant
    Filed: April 6, 1995
    Date of Patent: December 17, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobumasa Suzuki, Senichi Hayashi
  • Patent number: 5547716
    Abstract: Laser energy is used to make precursors of crystalline materials, such as diamond, by providing an environment in which optical radiation may be efficiently absorbed to create significant precursor concentrations. In some instances this process is augmented by evaporating or liquefying a sacrifice to induce heterogeneous nucleation. In other cases two chemically and spatially distinct plasmas are juxtaposed to initiate the required chemistry.
    Type: Grant
    Filed: November 28, 1994
    Date of Patent: August 20, 1996
    Assignee: McDonnell Douglas Corporation
    Inventor: Stephen L. Thaler
  • Patent number: 5527567
    Abstract: A method of fabricating high quality layered structure oxide ferroelectric thin films. The deposition process is a chemical vapor deposition process involving chemical reaction between volatile metal organic compounds of various elements comprising the layered structure material to be deposited, with other gases in a reactor, to produce a nonvolatile solid that deposits on a suitably placed substrate such as a conducting, semiconducting, insulating, or complex integrated circuit substrate. The source materials for this process may include organometallic compounds such as alkyls, alkoxides, .beta.-diketonates or metallocenes of each individual element comprising the layered structure material to be deposited and oxygen. Preferably, the reactor in which the deposition is done is either a hot wall or a cold wall reactor and the vapors are introduced into this reactor either through a set of bubblers or through a direct liquid injection system.
    Type: Grant
    Filed: May 30, 1995
    Date of Patent: June 18, 1996
    Assignees: Ceram Incorporated, Sharp Kabushiki Kaisha, Virginia Tech Intellectual Properties, Inc
    Inventors: Seshu B. Desu, Wei Tao, Chien H. Peng, Tingkai Li, Yongfei Zhu
  • Patent number: 5527629
    Abstract: The invention concerns a process for depositing a thin layer of silicon oxide bonded to a substrate of a polymeric material comprising, concomitantly or consecutively (1) subjecting a surface of the substrate to an electrical discharge with dielectric barrier and (2) exposing said surface of the substrate to an atmosphere containing a silane, thus forming a deposit of silicon oxide bonded to said surface of the substrate Application to the production of sheets or films useful for example as food wrapping.
    Type: Grant
    Filed: May 24, 1994
    Date of Patent: June 18, 1996
    Assignee: L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Michel-Jacques Gastiger, Franciscus Slootman, Pascal Bouard, Antoine Willemot
  • Patent number: 5509843
    Abstract: A method and apparatus exists for manufacturing needle-shaped materials for use as microemitters, wherein a light beam output from a light source is split into a plurality of beams and the split light beams are focused by an optical system and directed into a chamber having a gas containing electroconductive molecules. The electroconductive molecules are degraded through excitation by the beams directed into the chamber to deposit needle-shaped materials on a substrate disposed in the chamber. By so doing, a plurality of needle-shaped materials are simultaneously produced on the substrate in accordance with a corresponding number of beams obtained through splitting.
    Type: Grant
    Filed: May 19, 1994
    Date of Patent: April 23, 1996
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiaki Akama
  • Patent number: 5470799
    Abstract: The present invention provides a method for removing a natural gas film or contaminant adhering on a surface of a silicon semiconductor substrate. The semiconductor substrate having the natural oxide film or contaminant adhered thereon is placed in a chamber. Then, a HCl gas is introduced into the chamber. The semiconductor substrate is heated at a temperature in the range of 200.degree..about.700.degree. C., while ultraviolet rays are irradiated into the chamber. According to the method, the reaction of the natural oxide with HCl gas is promoted by a synergistic effect of light and heat energy. Therefore, the natural oxide film or contaminant can be removed at a lower temperature with the help of the light energy.
    Type: Grant
    Filed: April 24, 1989
    Date of Patent: November 28, 1995
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiromi Itoh, Masanobu Iwasaki, Akira Tokui, Katsuhiro Tsukamoto
  • Patent number: 5451425
    Abstract: A silicon chip which is used as a microresonator, filter or the like and which may include an integrated circuit, has its resonant frequency adjusted by increasing its thickness in an ozone-rich atmosphere which may be supplemented by ultraviolet light and/or heat. The process lends itself to low cost, mass production techniques.
    Type: Grant
    Filed: September 13, 1994
    Date of Patent: September 19, 1995
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventor: John R. Vig
  • Patent number: 5403630
    Abstract: A vapor-phase growth method comprising the steps of introducing a silicon-containing gas and ozone into a reaction vessel containing a sample, and introducing excited oxygen obtained by exciting an oxygen gas or an oxygen-containing gas, into the reaction vessel at the same the as, before, or after the silicon-containing gas and the ozone are introduced into the reaction vessel. The silicon-containing gas and the ozone react, forming an intermediate product which can readily condense. The intermediate product reacts with the excited oxygen, thereby forming a thin insulating film which excels in step coverage and has good insulating property.
    Type: Grant
    Filed: October 27, 1993
    Date of Patent: April 4, 1995
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Isao Matsui, Akio Ui
  • Patent number: 5387546
    Abstract: The present invention relates to a method for manufacturing a semiconductor device including a method for reforming an insulating film formed by a low temperature CVD method. It is an object of the present Invention to provide a method for manufacturing a semiconductor device capable of improving a film quality of an insulating film formed by a CVD method which is able to form a film at a low temperature and also capable of maintaining mass productivity, in which processing by irradiation with ultraviolet rays of the insulating film while heating the film after forming an insulating film (4) on a body to be formed by a chemical vapor deposition method is included.
    Type: Grant
    Filed: June 22, 1992
    Date of Patent: February 7, 1995
    Assignees: Canon Sales Co., Inc., Alcan-Tech Co., Ltd., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Kazuo Maeda, Noboru Tokumasu, Yuko Nishimoto
  • Patent number: 5364667
    Abstract: In a photo-CVD system, ultraviolet light is introduced into a reaction chamber from light emitting elements of ultraviolet light sources, through transparent bulb surfaces thereof, and through elongated light pipes in a sealed wall bounding the reaction chamber. This prevents molecules of reactant gas in the reaction chamber from reaching and being deposited on the transparent bulb surfaces, and thereby prevents buildup of such reactant molecules from occurring and impeding flow of ultraviolet light into the reaction chamber.
    Type: Grant
    Filed: May 25, 1993
    Date of Patent: November 15, 1994
    Assignee: Amtech Systems, Inc.
    Inventor: Ji H. Rhieu
  • Patent number: 5358755
    Abstract: Amorphous hydrogenated silicon-carbon alloys having particular usefulness in the preparation of photovoltaic devices, such as solar cells, with improved properties, such as high open circuit voltage with high fill factor and improved blue response, and stability, are provided by the process of depositing the alloy on a substrate maintained at a relatively low temperature below about 260.degree. C. in a vapor deposition chamber, and introducing a gaseous mixture comprising at least one compound having the formula (SiX.sub.3).sub.3 CX.sup.1 wherein each X and X.sup.1 is selected from the group consisting of hydrogen and halogen, and a high proportion of hydrogen, in a ratio by volume of from about 50 parts to about 2000 parts hydrogen to 1 part of (SiX.sub.3).sub.3 CX.sup.1 compound, under deposition conditions of low excitation power density of less than about 50 mw/cm.sup.2, and high pressure of more than about 0.1 torr.
    Type: Grant
    Filed: August 13, 1993
    Date of Patent: October 25, 1994
    Assignee: Amoco Corporation
    Inventors: Yuan-Min Li, Benjamin F. Fieselmann
  • Patent number: 5308651
    Abstract: As to technical subject matters of attempting increase of deposition rate and improvement of film quality and removing restriction of light source and source gas in the photo CVD process, the promotion of photolysis of the source gas is achieved by use of a pulse laser beam together with a continuous light, application of plural laser beams wherein each pulse of at least one second pulse laser beam is irradiated into each interval between a pulse and the next pulse in a first lase beam, and further introduction of an additive gas in addition to the source gas into a reaction vessel and particularly the provision of photo CVD process advantageously adaptable for the production of semiconductor is realized.
    Type: Grant
    Filed: November 6, 1992
    Date of Patent: May 3, 1994
    Assignee: Kawasaki Steel Corp.
    Inventors: Tomohiro Ohta, Hiroaki Sasaki, Tohru Mitomo, Naoki Kubota