Making Named Article Patents (Class 430/320)
  • Patent number: 11892723
    Abstract: An electronic device and a driving method therefor. Thicknesses of color resist and a black matrix in a first area, at a corresponding position of a light-transmitting area, of a color film substrate are smaller than the thicknesses of color resist and a black matrix outside the first area, a backlight module is defined with a through hole corresponding to the light-transmitting area, and a photographing module (30) is disposed corresponding to the through hole, so that external light rays can be obtained by means of the through hole and the light-transmitting area, thus under-screen photographing is achieved, and 100% full-screen design is satisfied.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: February 6, 2024
    Assignee: JRD COMMUNICATION (SHENZHEN) LTD.
    Inventors: Junjie Zhang, Zhiwen Sun
  • Patent number: 11826709
    Abstract: A filter device includes one or more filter membranes, and a filter housing enclosing the one or more filter membranes. Each of the filter membranes includes a base membrane and a plurality of through holes.
    Type: Grant
    Filed: May 28, 2021
    Date of Patent: November 28, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chwen Yu, En Tian Lin, Chih-Chiang Tseng, Tzu-Sou Chuang
  • Patent number: 11787220
    Abstract: In some examples, a security element includes a substrate that, on one of its sides, includes at least one security feature extending over a first area, the security feature being configured in the form of a three-dimensional intaglio structure. A first ink group in the security feature includes a plurality of first raised image elements containing intaglio printing ink of the first color, and at least one second ink group in the security feature includes a plurality of raised image elements containing intaglio printing ink of a second color that differs from the first color, and these are provided and arranged offset and spaced apart from one another such that they form an optically variable structure and/or at least some of the mutually adjacent image elements, which differ from one another in the color, occlude and/or expose one another to varying degrees from a varying viewing angles.
    Type: Grant
    Filed: January 12, 2021
    Date of Patent: October 17, 2023
    Assignee: KOENIG & BAUER AG
    Inventors: Daniel Chassot, Sébastien Dufey, Giovanni Galuffo, Hervé Guillerey
  • Patent number: 11752501
    Abstract: A silicone member used for a micro device and a micro device which achieve both electrification suppression and light transmittance are provided. The silicone member is used as a micro device and has a holding part for holding samples, or defines the holding part through the combination with a counterpart member. The silicone member includes a silicone material which has silicone and an ionic conductive agent, and the content of the ionic conductive agent is 0.01 part by mass or higher and 1 part by mass or lower with respect to 100 parts by mass of the silicone. The micro device includes the silicone member.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: September 12, 2023
    Assignee: Sumitomo Riko Company Limited
    Inventors: Koji Morihara, Katsumi Okashita, Yasunori Nimura, Kazumi Yoshida
  • Patent number: 11714353
    Abstract: A mask and a method of manufacturing the same, an evaporation apparatus and a display device are provided. The method includes forming a first photoresist pattern on a substrate, the first photoresist pattern including a plurality of photoresist structures, each photoresist structure including a first surface away from the substrate and a second surface near the substrate, and the size of the first surface being smaller than that of the second surface; forming a metal layer on the substrate with the first photoresist pattern, the metal layer including a plurality of recessed regions and a plurality of raised regions; forming a second photoresist pattern in the recessed regions, an orthographic projection of the second photoresist pattern on the metal layer overlapping with the recessed regions; removing regions of the metal layer not covered by the second photoresist pattern, the second photoresist pattern, the substrate and the first photoresist pattern mask.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: August 1, 2023
    Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Lianjie Qu
  • Patent number: 11644719
    Abstract: A liquid crystal display panel includes an array substrate, a color filter substrate, a plurality of pad structures disposed between the array substrate and the color filter substrate, a display area, and a light transmissive functional area. The pad structures are correspondingly disposed on the display area. The display area includes a predetermined area disposed at a periphery of the light transmissive functional area, and a thickness of each of the pad structures disposed on the predetermined area gradually decreases along a direction from the predetermined area to the light transmissive functional area.
    Type: Grant
    Filed: August 21, 2019
    Date of Patent: May 9, 2023
    Assignee: Wuhan China Star Optoelectronics Technology Co., Ltd.
    Inventors: Wei Tang, Gaiping Lu
  • Patent number: 11577513
    Abstract: A nozzle plate of a fluid ejection head for a fluid ejection device, a fluid ejection head containing the nozzle plate, and a method for making the fluid ejection head containing the nozzle plate. The nozzle plate contains two or more arrays of nozzle holes therein and a barrier structure disposed on an exposed surface of the nozzle plate between adjacent arrays of nozzle holes, wherein the barrier structure deters cross-contamination of fluids between the adjacent arrays of nozzle holes.
    Type: Grant
    Filed: October 6, 2020
    Date of Patent: February 14, 2023
    Inventor: Sean T. Weaver
  • Patent number: 11504115
    Abstract: Stapling assemblies for use with a surgical stapler and methods for manufacturing the same are provided. Three dimensional adjuncts for use with a surgical stapling assembly and methods for manufacturing the same are also provided.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: November 22, 2022
    Assignee: CILAG GMBH INTERNATIONAL
    Inventors: Frederick E. Shelton, IV, Jason L. Harris, Michael J. Vendely, Peyton Hopson, Rao Bezwada, Chester O. Baxter, III, Mark S. Zeiner
  • Patent number: 11465339
    Abstract: Methods and apparatus comprising a dewetting phase and a polymerization liquid that are immiscible, and can be used for the formation of three-dimensional objects, wherein the method does not require a dead zone. Additionally, methods and apparatus that employ an optically transparent cooling apparatus to mitigate heat generated during the fabrication process, and the use of a mobile phase to provide a shearing interface to reduce interfacial adhesive forces.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: October 11, 2022
    Assignee: NORTHWESTERN UNIVERSITY
    Inventors: Chad A. Mirkin, David A. Walker, James L. Hedrick, III
  • Patent number: 11409196
    Abstract: Disclosed is a method for forming patterns that can improve line width roughness (LWR) by forming a first resist material on an etch target layer, forming a second resist material including a light-shielding portion and a light-transmitting portion on the first resist material, exposing the first resist material using the light-shielding portion of the second resist material as an exposure mask, removing the second resist material, forming a first resist pattern by developing the exposed first resist material, and etching the etch target layer using the first resist pattern as an etch barrier.
    Type: Grant
    Filed: November 7, 2019
    Date of Patent: August 9, 2022
    Assignee: SK hynix Inc.
    Inventor: Keun-Jun Kim
  • Patent number: 11387438
    Abstract: Various embodiments disclosed relate to novel methods of fabricating 3-D Li ion batteries using direct nanoimprint lithography. The present invention includes methods of fabricating high surface area electrodes, including imprint patterning of high aspect ratio parallel grating style electrodes. The method includes coating a substrate with an ink containing nanoparticles and subsequently annealing the ink into a desired pattern.
    Type: Grant
    Filed: March 16, 2018
    Date of Patent: July 12, 2022
    Assignee: University of Massachusetts
    Inventors: James J. Watkins, Wenhao Li
  • Patent number: 11334777
    Abstract: A system and method for converting imaging data, for example, medical imaging data, to three-dimensional printer data Imaging data may be received describing for example a three-dimensional volume of a subject or patient. Using printer definition data describing a particular printer, 3D printer input data may be created from the imaging data describing at least part of the three-dimensional volume.
    Type: Grant
    Filed: December 3, 2020
    Date of Patent: May 17, 2022
    Assignee: 3D SYSTEMS INC.
    Inventors: Oren Kalisman, Dan Pri-Tal, Roy Porat, Yaron Vaxman
  • Patent number: 11292187
    Abstract: Methods and apparatus comprising a dewetting phase and a polymerization liquid that are immiscible, and can be used for the formation of three-dimensional objects, wherein the method does not require a dead zone. Additionally, methods and apparatus that employ an optically transparent cooling apparatus to mitigate heat generated during the fabrication process, and the use of a mobile phase to provide a shearing interface to reduce interfacial adhesive forces.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: April 5, 2022
    Assignee: NORTHWESTERN UNIVERSITY
    Inventors: Chad A. Mirkin, David A. Walker, James L. Hedrick, III
  • Patent number: 11289332
    Abstract: A method of fabricating a semiconductor device includes forming a hard mask layer over a substrate. A multi-layer resist is formed over the hard mask layer. The multi-layer resist is etched to form a plurality of openings in the multi-layer resist to expose a portion of the hard mask layer. Ion are directionally provided at an angle to the multi-layer resist to predominately contact sidewalls of the plurality of openings in the multi-layer resist rather than the hard mask layer. In one embodiment, the multi-layer resist is directionally etched by directing etch ions at an angle to predominately contact sidewalls of the plurality of openings in the multi-layer resist rather than the hard mask layer. In another embodiment, the multi-layer resist is directionally implanted by directing implant ions at an angle to predominately contact sidewalls of the plurality of openings in the multi-layer resist rather than the hard mask layer.
    Type: Grant
    Filed: July 15, 2019
    Date of Patent: March 29, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih-Chun Huang, Chin-Hsiang Lin, Chien-Wen Lai, Ru-Gun Liu, Wei-Liang Lin, Ya Hui Chang, Yung-Sung Yen, Yu-Tien Shen, Ya-Wen Yeh
  • Patent number: 11220051
    Abstract: A build plate assembly for a three-dimensional printer includes: a lighting panel having individually addressable pixels configured to selectively emit light and/or transmit light from illumination below the pixels to a top surface top surface of the lighting panel; a rigid, optically transparent, gas-impermeable planar screen or base having an upper surface having an uneven surface topology and a lower surface that is affixed to the top surface of the lighting panel; and a flexible, optically transparent, gas-permeable sheet having upper and lower surfaces, the upper surface comprising a build surface for forming a three-dimensional object, the sheet lower surface positioned opposite the base, wherein the build plate is configured to permit gas flow to the build surface.
    Type: Grant
    Filed: September 23, 2016
    Date of Patent: January 11, 2022
    Assignee: Carbon, Inc.
    Inventors: Bob E. Feller, Ariel M. Herrmann, John R. Tumbleston, David Moore, Gregory W. Dachs, II
  • Patent number: 11203156
    Abstract: Methods and systems for forming objects through photo-curing of a liquid resin in a tank by selective exposure (through a mask) to radiation, in which during printing operations the liquid resin in the tank is displaced relative to the build area along an axis orthogonal to that along which the object is extracted from the liquid resin in the tank. A volume of the photo-curing liquid resin may be cycled through a cooling arrangement by being extracted from the tank, cooled, and then reintroduced into the tank as printing of the object is taking place. The mask is preferably one in which charged colorant particles are dispersed in an optically transparent fluid within a plurality of bi-state cells.
    Type: Grant
    Filed: August 20, 2018
    Date of Patent: December 21, 2021
    Assignee: NEXA3D Inc.
    Inventor: Izhar Medalsy
  • Patent number: 11201050
    Abstract: A substrate processing method capable of suppressing particles from remaining on a surface of a substrate is provided. In the substrate processing method, a liquid film of a protection liquid is formed on the surface of the substrate, and the substrate is dried by using a supercritical fluid so that the protection liquid is removed from the surface of the substrate. After the substrate is dried, the particles remaining on the surface of the substrate is removed.
    Type: Grant
    Filed: May 14, 2019
    Date of Patent: December 14, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Itaru Kanno, Hiromi Kiyose, Gentaro Goshi, Naohiko Hamamura, Takuro Masuzumi, Kenji Sekiguchi, Satoru Tanaka, Teruomi Minami
  • Patent number: 11186039
    Abstract: A stereolithography system includes a tank provided with a bottom and a stereolithography machine provided with a supporting frame and with a supporting structure operatively associated with the supporting frame and defining a housing configured to accommodate the tank. The tank includes a duct made of a deformable material and having a first mouth and a second mouth in fluid-dynamic communication with the tank; the supporting structure is configured in such a way as to assume, with respect to the supporting frame, a first position and a second position in which the tank is in an inclined position with respect to the bearing plane of the supporting frame, and the stereolithography machine includes a counteracting mechanism configured to be placed into contact with the duct in that second position.
    Type: Grant
    Filed: November 12, 2018
    Date of Patent: November 30, 2021
    Assignee: DWS S.R.L
    Inventors: Ettore Maurizio Costabeber, Renzo Busato
  • Patent number: 11169177
    Abstract: Methods are described for the economical manufacture of Scanning Probe and Electron Microscope (SPEM) probe tips. In this method, multiple wires are mounted on a stage and ion milled simultaneously while the stage and mounted probes are tilted at a selected angle relative to the ion source and rotated. The resulting probes are also described. The method provides sets of highly uniform probe tips having controllable properties for stable and accurate scanning probe and electron microscope (EM) measurements.
    Type: Grant
    Filed: August 9, 2017
    Date of Patent: November 9, 2021
    Assignee: Tiptek, LLC
    Inventors: Joseph W. Lyding, Gregory S. Girolami, Scott P. Lockledge, Jinju Lee
  • Patent number: 11150535
    Abstract: An optical signal transmitter includes a laser source configured to generate light with different wavelengths, respectively; a wavelength division (WD) demultiplexer configured to redirect the light in different directions based on the different wavelengths, respectively; and a lens array including an array of lenses configured to collimate the light from the WD demultiplexer for transmission in different directions, respectively. The optical signal transmitter may be implemented in a light detection and ranging (LIDAR) apparatus. The optical signal transmitter may further include a 1×N splitter and a set of WD demultiplexers to increase the number of distinct optical signal transmissions.
    Type: Grant
    Filed: May 17, 2018
    Date of Patent: October 19, 2021
    Inventor: Henry Hung
  • Patent number: 11110683
    Abstract: Substrates comprising a functionalizable layer, a polymer layer comprising a plurality of micro-scale or nano-scale patterns, or combinations thereof, and a backing layer and the preparation thereof by using room-temperature UV nano-embossing processes are disclosed. The substrates can be prepared by a roll-to-roll continuous process. The substrates can be used as flow cells, nanofluidic or microfluidic devices for biological molecules analysis.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: September 7, 2021
    Assignee: ILLUMINA, INC.
    Inventors: M. Shane Bowen, Bala Murali Venkatesan, Steven M. Barnard
  • Patent number: 11020898
    Abstract: A build plate for a three-dimensional printer includes a rigid, optically transparent, gas-permeable planar base having an upper surface and an opposing lower surface; a gas permeable adhesive layer on the base upper surface; and a flexible, optically transparent, gas-permeable sheet having upper and lower surfaces, the upper surface comprising a build surface for forming a three-dimensional object, the sheet lower surface positioned on the adhesive layer opposite the base.
    Type: Grant
    Filed: January 29, 2016
    Date of Patent: June 1, 2021
    Assignee: Carbon, Inc.
    Inventors: David Moore, John R. Tumbleston, Edward T. Samulski, Alexander Ermoshkin, Jason P. Rolland, Ariel M. Herrmann
  • Patent number: 10994570
    Abstract: A method to permanently mark an item of laminated jewelry involves creating a laminate of two metals, and upper layer typically formed of a more precious metal such as gold, platinum or palladium that do not readily oxidize laminated onto the surface of a less precious metal, such as silver, which can be oxidized to create a dark color (e.g. black). A laser is used to create characters and/or designs in the upper layer by vaporizing portions thereof to effectively remove those portions. This exposes the upper surface of the less precious metal that does become oxidized. An oxidizer, such as liquid sulfur, is then used to blacken the silver, typically a dark gray. However, if more contrast is needed by making the marked text, designs etc. darker than gray a second step can be used by applying an additional oxidizing agent to turn the dark gray to substantially black.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: May 4, 2021
    Inventor: Yianni Melas
  • Patent number: 10892736
    Abstract: A fine dust concentration sensor includes a bulk acoustic resonator and a cap including an upper portion with holes therein and a lateral portion connected to the upper portion to accommodate the bulk acoustic resonator. An upper surface of the upper portion of the cap is coated with a hydrophobic material.
    Type: Grant
    Filed: October 24, 2018
    Date of Patent: January 12, 2021
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Tae Kyung Lee, Je Hong Kyoung, Jin Suk Son, Ran Hee Shin, Hwa Sun Lee
  • Patent number: 10885407
    Abstract: A system and method for converting imaging data, for example, medical imaging data, to three-dimensional printer data. Imaging data may be received describing for example a three-dimensional volume of a subject or patient. Using printer definition data describing a particular printer, 3D printer input data may be created from the imaging data describing at least part of the three-dimensional volume.
    Type: Grant
    Filed: November 22, 2017
    Date of Patent: January 5, 2021
    Assignee: SIMBIONIX LTD.
    Inventors: Oren Kalisman, Dan Pri-Tal, Roy Porat, Yaron Vaxman
  • Patent number: 10843402
    Abstract: A method of forming a three-dimensional object, comprising: providing a carrier (18, 418) and an optically transparent member having a build surface, said carrier (18, 418) and said build surface defining a build region therebetween; filling said build region with a polymerizable liquid, continuously or intermittently irradiating said build region with light through said optically transparent member to form a solid polymer from said polymerizable liquid, continuously or intermittently advancing (e.g., sequentially or concurrently with said irradiating step) said carrier (18, 418) away from said build surface to form said three-dimensional object from said solid polymer, said optically transparent member comprising a flexible layer (414, 514, 614) having upper and lower opposing sides, wherein the flexible layer (414, 514, 614) upper side defines the build region, the method further comprising forming a region of reduced pressure adjacent the flexible layer (414, 514, 614) lower side.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: November 24, 2020
    Assignee: Carbon, Inc.
    Inventors: John Tumbleston, Edward T. Samulski, Robert K. Pinschmidt, Jr.
  • Patent number: 10795255
    Abstract: A method of forming a layout definition of a semiconductor device includes the following steps. Firstly, a plurality of first patterns is established to form a material layer over a substrate, with the first patterns being regularly arranged in a plurality of columns along a first direction to form an array arrangement. Next, a plurality of second patterns is established to surround the first patterns. Then, a third pattern is established to form a blocking layer on the material layer, with the third pattern being overlapped with a portion of the second patterns and with at least one of the second patterns being partially exposed from the third pattern. Finally, the first patterns are used to form a plurality of first openings in a stacked structure on the substrate to expose a portion of the substrate respectively.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: October 6, 2020
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Wei-Lun Hsu, Gang-Yi Lin, Yu-Hsiang Hung, Ying-Chih Lin, Feng-Yi Chang, Ming-Te Wei, Shih-Fang Tzou, Fu-Che Lee, Chia-Liang Liao
  • Patent number: 10784108
    Abstract: A method for forming a functionalised assembly guide intended for the self-assembly of a block copolymer by graphoepitaxy, includes forming on the surface of a substrate a neutralisation layer made of a first material having a first neutral chemical affinity with regard to the block copolymer; forming on the neutralisation layer a first mask including at least one recess; depositing on the neutralisation layer a second material having a second preferential chemical affinity for one of the copolymer blocks, in such a way as to fill the at least one recess of the first mask; and selectively etching the first mask relative to the first and second materials, thereby forming at least one guide pattern made of the second material arranged on the neutralisation layer.
    Type: Grant
    Filed: October 20, 2017
    Date of Patent: September 22, 2020
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Guillaume Claveau, Maxime Argoud, Nicolas Posseme, Raluca Tiron
  • Patent number: 10737479
    Abstract: A method and apparatus for making a three-dimensional object by solidifying a solidifiable material are shown and described. A photohardening inhibitor is admitted into a surface of a photohardenable material to create a “dead zone” where little or no solidification occurs. The dead zone prevents the exposed surface of the photohardenable material from solidifying in contact with a container bottom or film. As the solidified object areas get larger and the build platform speed increases, the dead zone increases which can cause the formation of channels in the resulting objects and delamination. A number of techniques including continuous/discontinuous mode switching, multiple illuminations of portions of the same layer, and the use of gray scaling are disclosed for regulating the size of the dead zone.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: August 11, 2020
    Assignee: Global Filtration Systems
    Inventors: Ali El-Siblani, Mohamad Janbain
  • Patent number: 10589512
    Abstract: Methods and apparatus for additive manufacturing of three-dimensional objects from polymerizable liquids are described. The methods and apparatus are implemented in the form of a bottom up additive manufacturing apparatus, and in preferred embodiments are implemented in the form of Continuous Liquid Interface Production (or “CLIP”) methods and apparatus. Rotation of at least one of the carrier and the build plate is preferably included, for example to facilitate the filling of the build region with the polymerizable liquid.
    Type: Grant
    Filed: July 7, 2015
    Date of Patent: March 17, 2020
    Assignee: Carbon, Inc.
    Inventors: Joseph M. DeSimone, Edward T. Samulski, Jason P. Rolland
  • Patent number: 10416562
    Abstract: Non-linear metallic thermal resist structure having more than two layers of different metals and effective eutectic temperature that is lower than eutectic temperature of a reference non-linear metallic thermal resist having only two layer of same different metals. Optionally, at least one the layers of such resist structure is doped with material different from host metals and/or deposited under conditions resulting in strain or stress in a layer at hand. Method of multi-exposure-based patterning of a substrate carrying such structure with laser pulses characterized by irradiance at levels equal to or below 10 mJ/cm2. The sequence of steps producing the required pattern on the substrate may be explicitly lacking a step of removal of a portion of the resist structure between two consecutive exposures.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: September 17, 2019
    Assignee: NIKON RESEARCH CORPORATION OF AMERICA
    Inventor: Shane R. Palmer
  • Patent number: 10363746
    Abstract: A method for producing a liquid ejection head includes a step of providing a positive photosensitive resin layer on a substrate, a step of heat-treating the positive photosensitive resin layer on the substrate, and a step of forming a mold material having a pattern of the flow path by subjecting the heat-treated positive photosensitive resin layer on the substrate to exposure and development. In the method, the positive photosensitive resin layer includes a light absorbing agent that is nonvolatile at a temperature of the heat treatment of the positive photosensitive resin layer, the light absorbing agent has a light absorbance (a1) at a wavelength of 365 nm and an average light absorbance (a2) in a wavelength range of 280 nm or more to 330 nm or less, and an absorbance ratio A is 1.0 or less where the absorbance ratio A is the ratio a2/a1.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: July 30, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Kazunari Ishizuka
  • Patent number: 10288998
    Abstract: A mask blank with resist film, includes a substrate having a thin film; and a negative resist film formed on a surface of the thin film, wherein in the resist film, a photoacid generator low-concentration region is formed at a part where the resist film is in contact with the thin film; a concentration of the photoacid generator low-concentration region is lower than that of the other region of the resist film; a thickness of the photoacid generator low-concentration region is 5% to 40% of the thickness of the resist film; and a concentration of the photo acid generator at a part in contact with the thin film in the photoacid generator low-concentration region is a value obtained by decreasing the concentration of the photo acid generator in the other region of the resist film by 10% to 40%.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: May 14, 2019
    Assignee: HOYA CORPORATION
    Inventor: Toru Fukui
  • Patent number: 10071320
    Abstract: A multi-configurable toy vehicle is described. The multi-configurable toy vehicle comprises a cab and a vehicle body. The cab comprises a first set of one or more wheels and the vehicle body comprises a second set of one or more wheels. The vehicle body is slidably coupled to the cab between a collapsed and expanded configuration. The vehicle body comprises a floor and a platform pivotally coupled to the floor between an upright position and an open position. A trailer may be coupled to the multi-configurable toy vehicle.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: September 11, 2018
    Assignee: Mattel, Inc.
    Inventor: Esther Levine
  • Patent number: 9987023
    Abstract: An orthopedic surgical instrument comprising an orthopedic cutting tool includes a metallic cutting insert configured to remove portions of a patient's bone and a body molded to the cutting insert. The cutting insert includes a plurality of chemically etched holes, and the body is molded to the cutting insert such that each of the plurality of chemically etched holes is at least partially filled by a portion of the body. The cutting insert may include a plurality of cutting teeth configured to remove portions of the patient's bone. A method of manufacturing an orthopedic surgical instrument is also disclosed.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: June 5, 2018
    Assignee: DEPUY IRELAND UNLIMITED COMPANY
    Inventor: Jon M. Edwards
  • Patent number: 9939726
    Abstract: Photopolymerizable flexographic printing elements which contain cyclohexanepolycarboxylic esters as plasticizers and also their use for producing flexographic printing forms for printing with UV inks, in particular for UV narrow web printing.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: April 10, 2018
    Assignee: Flint Group Germany GmbH
    Inventors: Uwe Stebani, Stefanie Döttinger
  • Patent number: 9829798
    Abstract: A continuous flow projection lithography system to form microstructures using an optical array incorporated in a continuous coating process is provided. A mask is placed at a distance from the array. Each element of the array projects one image of the mask onto a substrate, effectively forming an array thereon. A coating process allows flows that can be used to define functional regions of particles or supporting layers that prevent adhesion of crosslinked polymers to surfaces.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 28, 2017
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Kai Melde, Philipp H. Schmaelzle
  • Patent number: 9789690
    Abstract: A method for manufacturing a liquid ejection head includes the steps of: preparing a substrate including an energy-generating element disposed on a first surface of the substrate and a supply path for liquid; disposing a dry film on the first surface of the substrate in such a manner that the dry film partially enters the supply path; etching the dry film from a side of the dry film facing the first surface of the substrate so that the dry film has an etched surface substantially in parallel with the first surface and covers the supply path; forming a resin layer to be a flow path member on the dry film covering the supply path; and removing the dry film covering the supply path.
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: October 17, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Masahisa Watanabe, Jun Yamamuro, Kazuhiro Asai, Keiji Matsumoto, Koji Sasaki, Kunihito Uohashi, Ryotaro Murakami, Tomohiko Nakano, Keiji Edamatsu, Haruka Nakada, Kenji Fujii, Seiichiro Yaginuma
  • Patent number: 9720330
    Abstract: Methods of micro- and nano-patterning substrates to form transparent conductive electrode structures or polarizers by continuous near-field optical nanolithography methods using a roll-type photomask or phase-shift mask are provided. In such methods, a near-field optical nanolithography technique uses a phase-shift or photo-mask roller that comprises a rigid patterned externally exposed surface that transfers a pattern to an underlying substrate. The roller device may have an internally disposed radiation source that generates radiation that passes through the rigid patterned surface to the substrate during the patterning process. Sub-wavelength resolution is achieved using near-field exposure of photoresist material through the cylindrical rigid phase-mask, allowing dynamic and high throughput continuous patterning.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: August 1, 2017
    Assignee: THE REGENTS OF THE UNIVERSITY OF MICHIGAN
    Inventor: Lingjie Jay Guo
  • Patent number: 9465296
    Abstract: Embodiments of the invention relate to methods and apparatus useful in the nanopatterning of large area substrates, where a movable nanostructured film is used to image a radiation-sensitive material. The nanopatterning technique makes use of Near-Field photolithography, where the nanostructured film used to modulate light intensity reaching radiation-sensitive layer. The Near-Field photolithography may make use of an elastomeric phase-shifting mask, or may employ surface plasmon technology, where a movable film comprises metal nano holes or nanoparticles.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: October 11, 2016
    Assignee: Rolith, Inc.
    Inventor: Boris Kobrin
  • Patent number: 9429839
    Abstract: A lithography method including: a preparation, during which a resist layer is deposited on a substrate, and a preliminary lithography carried out to define at least one preliminary pattern in the resist layer, and during the preliminary lithography, a formation of at least one positioning mark forming a relief in the resist layer. The method further includes at least one subsequent lithography applied to the resist layer and including: a preliminary positioning including positioning a lithography mechanism as a function of the at least one positioning mark, and at least one formation of additional patterns in the resist layer using the lithography mechanism positioned as a function of the at least one positioning mark.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: August 30, 2016
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Sebastien Pauliac
  • Patent number: 9395582
    Abstract: A mask and a method of fabricating spacers (2) using the mask (1). The mask (1) comprises a light transmitting region including an array of light transmitting holes (230), a light non-transmitting region and a phase shifting layer (240) formed in one of two adjacent light transmitting holes (230) of the mask for shifting phrase of lights passing through the light transmitting holes (230). Thus, a light intensity can be reduced or lowered to zero when the lights pass through a diffractive region of the two adjacent light transmitting holes (230). Therefore, a bridging effect between two adjacent spacers (2) is alleviated and even avoided during fabricating the spacers (2).
    Type: Grant
    Filed: May 26, 2014
    Date of Patent: July 19, 2016
    Assignees: BOE Technology Group Co., Ltd., Beijing BOE Optoelectronics Technology Co., Ltd.
    Inventors: Xiaochuan Chen, Hailin Xue, Chuncheng Che, Wenbo Jiang, Yue Li
  • Patent number: 9316491
    Abstract: An instrument for measuring volume solids of a paint sample is described.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: April 19, 2016
    Assignee: Wolf Industrial Innovation
    Inventor: Udo Wolfgang Bucher
  • Patent number: 9315026
    Abstract: A method for manufacturing a liquid discharge head. The method includes a first step of forming a telecentric measurement pattern A by exposure, the telecentric measurement pattern A being part of a measurement pattern that allows determination of inclination of a principal ray caused by an off-axis telecentric degree occurring in a projection exposing device, and a second step of forming a telecentric measurement pattern B by exposure under an exposure condition defocused from an exposure condition in the first step, the telecentric measurement pattern B being another part of the measurement pattern, which allows the determination of the inclination of the principal ray caused by the off-axis telecentric degree occurring in the projection exposing device. The off-axis telecentric degree is determined from an amount of misalignment between relative forming positions of the telecentric measurement patterns A and B and an amount of defocusing.
    Type: Grant
    Filed: August 12, 2015
    Date of Patent: April 19, 2016
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takanobu Manabe, Yoshinori Tagawa, Hiroyuki Murayama, Shuhei Oya
  • Patent number: 9308721
    Abstract: A method of manufacturing a substrate for a liquid ejection head including an ejection port forming member which has formed therein ejection ports, includes the steps of: forming a first layer by using a first layer forming member; forming a second layer on the first layer by using a second layer forming member; and hardening a partial region of each of the first layer and the second layer and removing a region different from the partial region so as to form the ejection ports, resulting in that the first layer and the second layer constitute the ejection port forming member, and a member containing a solvent to dissolve the first layer forming member and a photo-acid-generating agent having an acid strength weaker than an acid strength of the photo-acid-generating agent contained in the first layer forming member is used as the second layer forming member.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: April 12, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kunihito Uohashi, Kenji Fujii, Kazuhiro Asai, Keiji Matsumoto
  • Patent number: 9289703
    Abstract: Tangential flow filtration device is provided wherein liners are provided between the filtration element and the top and bottom holders or manifolds. The liners incorporate the flow channels and inlet and outlet ports, as well as a sensor mount. The liners are made of an inexpensive material and therefore are disposable after a single use, making it more cost effective to dispose of them than to clean the conventional manifolds. The sensor mount accommodates a removable sensor, and isolates it from the fluid path.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: March 22, 2016
    Assignee: EMD Millipore Corporation
    Inventors: Martin Morrissey, Dennis Wong
  • Patent number: 9235130
    Abstract: Electrically-conductive articles are prepared to have electrically-conductive silver metal electrode grids and electrically-conductive silver connector wire patterns (BUS lines) on one or both supporting sides of a transparent substrate. The electrically-conductive silver connector wire patterns are designed with one silver main wire that comprises two or more adjacent silver micro-wires in bundled patterns. These bundled patterns and silver micro-wires are designed with specific dimensions and configurations to provide optimal fidelity (or correspondence) to the mask image used to provide such images in a silver halide emulsion layer. The electrically-conductive articles are provided by imagewise exposure, development, and fixing of corresponding silver halide-containing conductive film element precursors containing photosensitive silver halide emulsion layers. The electrically-conductive articles can be used as parts of various electronic devices including touch screen devices.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: January 12, 2016
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Kenneth James Lushington, James Edward Sutton, Ronald Steven Cok
  • Patent number: 9222959
    Abstract: The invention relates to a nanofiber fabrication method comprising nanofiber growth from a catalyst zone, furthermore comprising the following steps: producing at least one micropattern (11) on the surface of a substrate (1); producing a catalyst zone (50) on the surface of said micropattern; nanofiber growth from the catalyst zone, characterized in that the micropattern (11) comprises a base, at least partially convergent side walls and an upper face, said base being covered with a so-called “poison” layer (4) where no nanofiber growth catalysis effect can take place, the so-called “poison” layer not being present on said upper face; the base being covered with a catalyst layer (5) on the surface of the so-called “poison” layer; the thickness of the “poison” layer and the thickness of the catalyst layer being such that the nanofibers cannot grow either on the side walls or on the base of the micropatterns constructed beforehand.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: December 29, 2015
    Assignee: Commissariat A L'Engergie Atomique
    Inventors: Louis Gorintin, Jean Dijon, Hélène Le Poche, Denis Mariolle
  • Patent number: 9217086
    Abstract: A method of fabricating an anti-reflective optically transparent structure includes the steps of providing an optically transparent substrate having a first refractive index and a first surface; and forming an anti-reflective layer within the first surface of the transparent substrate. The anti-reflective layer is made by forming a nano-scale pattern within the first surface defining a subwavelength nano-structured second surface of the anti-reflective layer including a plurality of protuberances having a predetermined maximum distance between adjacent protuberances and a predetermined height for a given wavelength such that the anti-reflective layer includes a second refractive index lower than the first refractive index to minimize light diffraction and random scattering therethrough. The predetermined height is approximately equal to a quarter of the given wavelength divided by the second refractive index.
    Type: Grant
    Filed: April 8, 2013
    Date of Patent: December 22, 2015
    Assignee: Wayne State University
    Inventors: Yang Zhao, Jinsong Wang
  • Patent number: 9181618
    Abstract: Provided herein is a method including conformally depositing a first layer over a patterned resist; depositing a second, thicker layer over the first layer; etching the second layer to expose the first layer; and patterning a magnetic layer by ion implantation in accordance with the patterned resist to form a patterned magnet layer.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: November 10, 2015
    Assignee: Seagate Technology LLC
    Inventors: Michael Feldbaum, Koichi Wago, David Kuo