Making Named Article Patents (Class 430/320)
  • Publication number: 20140030659
    Abstract: A liquid ejection head is manufactured by forming a dent representing a substantially spherical profile so as to include a position for forming an ejection port on a surface of a photosensitive resin layer, then forming a latent image of the ejection port in the dent by an exposure treatment using a projection lens system, and developing the latent image. The center of the top surface of the latent image is shifted to the incoming side of the beam of exposure light from the lowest point of the dent.
    Type: Application
    Filed: July 11, 2013
    Publication date: January 30, 2014
    Inventors: Takuma Kodoi, Yasunori Takei, Kenji Yabe, Isamu Horiuchi, Hyou Takahashi, Ken Ikegame
  • Patent number: 8637225
    Abstract: A method for producing a magnetic recording medium comprising at least a recording layer and a protective film provided on top of a non-magnetic substrate, the method comprising, in the following order, forming a continuous recording layer on the substrate, forming a patterned resist layer, partially removing the recording layer based on a resist pattern, applying an organosilicon compound having an active energy beam-curable functional group onto the recording layer and regions from which the recording layer has been removed, curing the organosilicon compound with an active energy beam, etching the organosilicon compound to expose a magnetic layer, and forming a protective film.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: January 28, 2014
    Assignee: Showa Denko K.K.
    Inventors: Yoshikazu Arai, Hiroshi Uchida, Naoyuki Imai, Masato Fukushima
  • Patent number: 8628698
    Abstract: Disclosed is a resin composition for a protective layer of a color filter including an acrylate-based resin including a repeating unit represented by each of Chemical Formulae 1 to 3, a melamine-based resin represented by Chemical Formula 4, a thermal acid generator (TAG), and a solvent.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: January 14, 2014
    Assignee: Cheil Industries Inc.
    Inventors: Se-Young Choi, Jae-Hyun Kim, Nam-Gwang Kim, Eui-June Jeong, Sang-Kyun Kim, Kwen-Woo Han, Hyun-Hoo Sung
  • Patent number: 8617798
    Abstract: A method of producing particles includes providing a substrate structure that comprises a solid substrate; forming a target structure on said substrate structure, said target structure comprising a radiation-reactive material; forming a spatially patterned beam of radiation using a patterned mask; exposing at least a portion of the target structure to the spatially patterned beam of radiation to which the radiation-reactive material reacts while leaving other portions of the target structure unexposed to the radiation; removing substantially all of one of the exposed or the unexposed patterned portions of the target structure to provide a plurality of non-contiguous structures that include at least a portion of the radiation-reactive material; and separating the plurality of non-contiguous structures comprising the radiation-reactive material from the substrate structure into a fluid material.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: December 31, 2013
    Assignee: The Regents of the University of California
    Inventors: Thomas G. Mason, Carlos J. Hernandez
  • Publication number: 20130337231
    Abstract: Provided are a substrate with an etching mask which enables high definition patterning and a method of manufacturing the same. A photosensitive material is applied on a surface of a substrate, exposure and development of the photosensitive material are carried out to form a resist pattern, a DLC coating film is formed on the surface of the substrate and a surface of the resist pattern, and the DLC coating film formed on the resist pattern is separated together with the resist pattern to form a DLC pattern on the surface of the substrate.
    Type: Application
    Filed: February 8, 2012
    Publication date: December 19, 2013
    Applicant: THINK LABORATORY CO., LTD.
    Inventors: Kaku Shigeta, Shintaro Sugawara, Tatsuo Shigeta
  • Publication number: 20130330673
    Abstract: A process for producing an ejection orifice forming member including the steps of forming a laminate including a first negative photosensitive resin layer that contains a first photoacid generator, and a second negative photosensitive resin layer that is formed on the first negative photosensitive resin layer and contains a second photoacid generator; forming a first latent image and a second latent image on the first negative photosensitive resin layer and the second negative photosensitive resin layer, respectively, by collectively subjecting the first negative photosensitive resin layer and the second negative photosensitive resin layer to exposure; performing a heat treatment after the exposure; and forming the ejection orifice by a development treatment. The first photoacid generator in the first latent image has an acid diffusion length greater than the acid diffusion length of the second photoacid generator in the second latent image.
    Type: Application
    Filed: May 28, 2013
    Publication date: December 12, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Kunihito Uohashi, Shuji Koyama, Kazuhiro Asai, Keiji Matsumoto, Tetsuro Honda, Masaki Ohsumi
  • Patent number: 8603370
    Abstract: A near-infrared absorptive liquid dispersion, which contains a near-infrared absorptive compound represented by formula (1) that is dispersed in a form of fine particles in a dispersing medium: wherein R1a and R1b may be the same or different, and each independently represent an alkyl group, an aryl group, or a heteroaryl group; R2 and R3 each independently represent a hydrogen atom or a substituent, and at least one of R2 and R3 is an electron withdrawing group; R2 may be bonded to R3 to form a ring; and R4 represents a hydrogen atom, an alkyl group, an aryl group, a heteroaryl group, a substituted boron, or a metal atom, and R4 may be covalently bonded or coordinately bonded to at least one among R1a, R1b and R3.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: December 10, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Shunya Kato, Kazumi Nii
  • Publication number: 20130323650
    Abstract: There is provided a method for manufacturing a liquid ejection head having a substrate and a channel-forming member having an ejection port from which a liquid is ejected, the method including forming a negative photosensitive resin layer on or above the substrate; forming a lens layer on the negative photosensitive resin layer, the lens layer having a lens; exposing the negative photosensitive resin layer through the lens to form an ejection port in the negative photosensitive resin layer; and removing the lens layer.
    Type: Application
    Filed: May 20, 2013
    Publication date: December 5, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Isamu Horiuchi, Hiroaki Mihara, Kazunari Ishizuka, Ken Ikegame
  • Patent number: 8597871
    Abstract: A method of creating a region of index change in a photopolymer includes providing a photopolymer having a photosensitivity to light of a particular wavelength and creating a region of index change in the photopolymer by applying direct write lithography to expose the photopolymer of the region to light that includes the particular wavelength.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: December 3, 2013
    Assignee: The Regents of the University of Colorado
    Inventor: Robert R. McLeod
  • Patent number: 8597872
    Abstract: A method is provided for production of a medical marker (4) made of an X-ray-opaque material, including the following steps: a) photolithographic application of a mask (31) on a substrate (1); b) deposition of the X-ray-opaque material (40) of the marker (4) on the substrate (1); c) removal of the mask (31); and d) elimination of the substrate (1).
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: December 3, 2013
    Assignee: Heraeus Precious Metals GmbH & Co. KG
    Inventors: Heiko Specht, Frank Krüger
  • Patent number: 8592111
    Abstract: Disclosed is an LCD panel photolithography process, employed in a lithography system for manufacturing a plurality of LCD panel, comprising steps of: performing photolithography to a glass substrate with a first mask, and the first mask comprises a plurality of sets of alignment marks corresponding to a plurality of following masks thereafter, and a plurality of sets of alignment marks corresponding to the plurality of following masks thereafter are formed on the glass substrate; and employing the plurality of sets of alignment marks on the glass substrate respectively, to perform alignment procedure and photolithography for the plurality of following masks with the plurality of sets of alignment marks on the glass substrate to form patterns; wherein corresponding to the same LCD panel area, the plurality of sets of alignment marks on the glass substrate have different position coordinates respectively.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: November 26, 2013
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Cai Ii Zhang
  • Patent number: 8586285
    Abstract: A sheeting and a method of forming a sheeting from a master tool are described where the sheeting has a composite image that floats above or below the sheeting. The method includes providing a first sheeting comprising a first array of microlenses and a photopolymerizable first material layer adjacent to the first array of microlenses. Another step is exposing the first sheeting to a radiation source to form a master tool comprising a plurality of polymerized first structured areas in the first material layer, wherein at least some of the first structured areas include a portion shape in common with at least some of the first structured areas and wherein each first structured area is associated with one of the first array of microlenses. Yet another step is replicating the plurality of first structured areas using a substance that conforms to the plurality of structured areas to form a second material layer having a plurality of replicated structured areas.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: November 19, 2013
    Assignee: 3M Innovative Properties Company
    Inventors: Brian J. Gates, Robert T. Krasa, Travis L. Potts, Serge Wetzels
  • Publication number: 20130298402
    Abstract: A method for forming a print head having a plurality of reentrant structures to reduce wetting of the print head surface by the ink during use, and a resulting structure. The method can include the use of a single photosensitive layer to form plurality of reentrant structures, each having a pillar and a cap which overhangs the pillar. A plurality of print head nozzles can also be formed during the formation of the reentrant structures. Embodiments can include the formation of pillars, caps, and nozzles using three different masks, two different masks, or a single mask.
    Type: Application
    Filed: May 9, 2012
    Publication date: November 14, 2013
    Applicant: XEROX CORPORATION
    Inventor: David L. KNIERIM
  • Patent number: 8580483
    Abstract: The present disclosure provides a method of making a nozzle chip including a step of forming an ejection orifice row by performing irradiation with light rays using a mask having ejection orifice row patterns that form an ejection orifice row pattern of one nozzle chip when the ejection orifice row patterns are connected to each other through a connection portion. The mask is configured such that, with respect to a direction in which ejection orifices of the ejection orifice row are arranged, an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the smallest distance from the connection portion is irradiated is less than an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the greatest distance from the connection portion is irradiated.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: November 12, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takanobu Manabe, Toshiaki Kurosu, Makoto Watanabe, Kenji Fujii, Yoshinori Tagawa, Kazuhiro Asai
  • Patent number: 8574822
    Abstract: A nanoimprint resist includes a hyperbranched polyurethane oligomer, a perfluoropolyether, a methylmethacrylate, a diluent solvent, and a photo initiator. The hyperbranched polyurethane oligomer can be polymerized by a copolymerization of trimellitic anhydride, ethylene mercaptan, and epoxy acrylic acid. The hyperbranched polyurethane oligomer can also be polymerized by a ring-opening copolymerization epoxy acrylic acid and ethylene glycol.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: November 5, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Li-Hui Zhang, Mo Chen
  • Patent number: 8576485
    Abstract: A method of forming a polarizing material is provided including exposing a layer of dichroic material to activating light illumination to provide an ordered structure with a distinguished absorption axis and thus photo-induce polarization, and fixing the induced polarization by polymerization of the dichroic layer. Novel polarizing materials formed thereby are also provided. By selectively exposing regions of the dichroic material to differing activating radiation, different regions with different polarization axes can be created. The polarizing material can also be provided with a coating or coatings to alter the spectral responses, and a stack formed of a plurality if dichroic layers can be provided.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: November 5, 2013
    Assignee: The Hong Kong University of Science and Technology
    Inventors: Vladimir Markovich Kozenkov, Wing Chiu Yip, Vladimir Grigorievich Chigrinov, Hoi Sing Kwok
  • Publication number: 20130286507
    Abstract: A thin-film magnetic head has a high write performance because its shape is smooth without roughness. The thin-film magnetic head includes a slider substrate, a write element and an antireflection film. The slider substrate has an air bearing surface at one side and supports a first support layer. The first support layer has a leading shield, and the leading shield has a low-level flat part, a slope part and a high-level flat part continuously arranged at one side in the recited order toward the air bearing surface. The antireflection film entirely covers the low-level flat part, the slope part and the high-level flat part of the leading shield. The write element has a recording magnetic pole film, and the recording magnetic pole film is formed above the antireflection film.
    Type: Application
    Filed: April 30, 2012
    Publication date: October 31, 2013
    Applicant: TDK Corporation
    Inventors: Hiromichi Umehara, Masashi Sano, Atsushi Yamaguchi
  • Publication number: 20130288183
    Abstract: Provided is a method of manufacturing a liquid injection head, the method including: forming, on a substrate, a negative photosensitive resin layer having a first surface on a side opposite to the substrate and a second surface on the substrate side; carrying out first exposure of the negative photosensitive resin layer; carrying out second exposure of the negative photosensitive resin layer; and forming the ejection orifice by carrying out development after the first exposure and the second exposure in which each of the first surface and the second surface has a portion in which a part of the unexposed portion in the first exposure and a part of the unexposed portion in the second exposure overlap and a portion in which a part of the unexposed portion in the first exposure and a part of the unexposed portion in the second exposure do not overlap.
    Type: Application
    Filed: April 5, 2013
    Publication date: October 31, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Tetsushi Ishikawa, Tamaki Sato
  • Patent number: 8568962
    Abstract: The invention relates to a photo-embossing process for the preparation of a polymeric relief structure comprising the steps of: a) coating a substrate with a coating composition comprising one or more radiation-sensitive ingredients and less than 30 wt % polymeric binder material; b) locally treating the coated substrate with electromagnetic radiation having a periodic, non-periodic or random radiation-intensity pattern, forming a latent image, at a temperature below a transition temperature of the coating composition; and c) polymerizing and/or crosslinking the resulting coated substrate, at a temperature above said transition temperature, wherein the transition temperature is a temperature that defines a transition of the coating composition between a state of high viscosity and low viscosity and wherein the coating composition comprises a compound A comprising at least one radiation curable group and a photoinitiator, the coating composition having a transition temperature between 30° C. and 120° C.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: October 29, 2013
    Assignee: Stichting Dutch Polymer Institute
    Inventors: Ko Hermans, Itsuro Tomatsu, Rintje Pieter Sijbesma, Cornelis Wilhelmus Maria Bastiaansen, Jan Dirk Broer
  • Publication number: 20130279188
    Abstract: A motor vehicle interior component with a multilayer design, with at least one inhomogeneously structured decorative layer (1), which has a plurality of regions (11, 12) of different transparencies. The decorative layer (1) is a side of the interior component that is facing an observer and includes at least one region (2) for the display of an image. A light source (4) is provided on the side of the decorative layer (1) facing away from the observer. The decorative layer (1) has a masking layer (3) on the side of the light source, with masking sections (31, 32) of different transparencies. Masking sections of lower transparency (31) are arranged on regions of higher transparency (11) and masking sections of higher transparency (32) and arranged on regions of lower transparency (12) of the decorative layer (1). A method for the production of such an interior component is disclosed.
    Type: Application
    Filed: November 22, 2011
    Publication date: October 24, 2013
    Applicant: Daimler AG
    Inventors: Volker Entenmann, Stefan Herder, Joerg Reisinger
  • Publication number: 20130273388
    Abstract: A heat dissipation substrate including a metal substrate, a metal layer, an insulating material layer and a patterned conductive layer is provided. The metal layer is disposed on the metal substrate and entirely covers the metal substrate. The metal layer has a first metal block and a second metal block surrounding the first metal block. A thickness of the first metal block is greater than a thickness of the second metal block. The insulating material layer is disposed on the second metal block. The patterned conductive layer is disposed on the insulating material layer and on the first metal block.
    Type: Application
    Filed: June 19, 2012
    Publication date: October 17, 2013
    Applicant: SUBTRON TECHNOLOGY CO., LTD.
    Inventor: Shih-Hao Sun
  • Patent number: 8557508
    Abstract: The present disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each first conductive pattern is electrically connected to another adjacent first conductive pattern in the same group by each connection component and each group of the second conductive patterns is interlaced with and insulated from each group of the first conductive patterns. Next, a plurality of curved insulation mounds are formed to cover the first connection components. Then, a plurality of bridge components are formed to electrically connect each second conductive pattern with another adjacent second conductive pattern in the same group.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: October 15, 2013
    Assignees: Chimei Innolux Corporation, Innocom Technology (Shenzhen) Co., Ltd.
    Inventors: Chao-Sung Li, Lien-Hsin Lee, Kai Meng
  • Patent number: 8557507
    Abstract: Nanopillars with nanoscale diameters are provided where the nanopillar has uniformly aligned nano-twins either perpendicular or inclined by 1-90° to the pillar-axis with no grain-boundaries or any other features.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: October 15, 2013
    Assignee: California Institute of Technology
    Inventors: Dongchan Jang, Julia R. Greer
  • Publication number: 20130266901
    Abstract: The manufacturing method includes forming, on a surface of a negative photosensitive resin layer formed on a substrate, a hollow having inclinations at both sides in a cross section taken along a plane perpendicular to a row direction of the ejection orifice, and then forming a latent image in the hollow, thereby forming the ejection orifice so that an angle between a side surface portion thereof and a normal to the outer opening in a cross section of the ejection orifice taken along a plane which passes through a center of the ejection orifice and is perpendicular to the row direction is larger than an angle between the side surface portion and the normal to the outer opening in a cross section of the ejection orifice taken along a plane which includes a center line of the ejection orifice in the row direction and is perpendicular to a substrate surface.
    Type: Application
    Filed: March 12, 2013
    Publication date: October 10, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Ken Ikegame, Isamu Horiuchi, Hyo Takahashi, Takuma Kodoi, Yasunori Takei, Kenji Yabe
  • Patent number: 8551692
    Abstract: Techniques are provided for making a funnel-shaped nozzle in a semiconductor substrate. The funnel-shaped recess includes a straight-walled bottom portion and a curved top portion having a curved sidewall gradually converging toward and smoothly joined to the straight-walled bottom portion, and the curved top portion encloses a volume that is substantially greater than a volume enclosed by the straight-walled bottom portion.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: October 8, 2013
    Assignee: FUJILFILM Corporation
    Inventors: Gregory De Brabander, Mark Nepomnishy, John A. Higginson
  • Patent number: 8551673
    Abstract: An optically variable magnetic stripe assembly includes a magnetic layer, an optically variable effect generating layer over the magnetic layer, and an electrically non-conductive reflective layer between the magnetic layer and the optically variable effect generating layer.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: October 8, 2013
    Assignee: De La Rue International Limited
    Inventors: Brian William Holmes, Malcolm Robert Murray Knight, David Allen Stone
  • Publication number: 20130244183
    Abstract: Provided is a process for producing an ink jet recording head, including: forming, on a substrate, a resin composition layer including a cationically polymerizable epoxy resin composition containing a specific compound; carrying out a first pattern exposure and a first heat treatment at the resin composition layer; carrying out a second pattern exposure and a second heat treatment at an unexposed portion of the resin composition layer; and, removing an unexposed portion in the first pattern exposure and in the second pattern exposure by a development treatment, thereby forming an ejection orifice for ejecting ink and an ejection portion having a taper shape in which an inner diameter reduces toward the ejection orifice.
    Type: Application
    Filed: February 19, 2013
    Publication date: September 19, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Shoji Shiba, Isamu Horiuchi
  • Publication number: 20130235119
    Abstract: The invention provides a photosensitive negative resin composition containing (a) an epoxy-group-containing compound, (b) a first onium salt containing a cation portion structure represented by (b1) and an anion portion structure represented by (b2), and (c) a second onium salt containing a cation portion structure represented by (c1) and an anion portion structure represented by (c2).
    Type: Application
    Filed: December 2, 2011
    Publication date: September 12, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Hyou Takahashi, Masako Shimomura, Ken Ikegame
  • Patent number: 8518632
    Abstract: In a method of manufacturing an electroforming mold, a first photoresist layer is formed on an upper surface of a bottom conductive film of a substrate, and the first photoresist layer is divided into a first soluble portion and a first insoluble portion. A conductive material is thermally deposited on an upper surface of the first photoresist layer within a predetermined temperature range, to thereby form an intermediate conductive film. An intermediate conductive film is patterned. A second photoresist layer is formed on an exposed upper surface of the first photoresist layer after the intermediate conductive film is removed, and on an upper surface of the intermediate conductive film remaining after patterning. The second photoresist layer is divided into a second soluble portion and a second insoluble portion. Next, the first and second photoresist layers are developed, and the first and second soluble portions are removed.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: August 27, 2013
    Assignee: Seiko Instruments Inc.
    Inventors: Takashi Niwa, Matsuo Kishi, Koichiro Jujo, Hiroyuki Hoshina
  • Publication number: 20130216973
    Abstract: A matrix band for use in dentistry has a silicone-based, polymer coating applied to an etched stainless steel surface, which reduces or eliminates capillary action between a tooth and the matrix band, while at least one aperture in the matrix band assists with removal of the matrix band from the tooth.
    Type: Application
    Filed: October 18, 2011
    Publication date: August 22, 2013
    Inventor: Robert Haraden
  • Publication number: 20130216996
    Abstract: Grafting M13 bacteriophage into an array of poly(3,4-ethylenedioxythiophene) (PEDOT) nanowires generated hybrids of conducting polymers and replicable genetic packages (rgps) such as viruses. The incorporation of rgps into the polymeric backbone of PEDOT occurs during electropolymerization via lithographically patterned nanowire electrodeposition (LPNE). The resultant arrays of rgps-PEDOT nanowires enable real-time, reagent-free electrochemical biosensing of analytes in physiologically relevant buffers.
    Type: Application
    Filed: October 3, 2011
    Publication date: August 22, 2013
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Gregory A. Weiss, Reginald M. Penner, Jessica A. Arter, David K. Taggart, Keith C. Donavan
  • Patent number: 8512935
    Abstract: In some embodiments, without limitation, a hydrophobic photoresist material and a method of making the photoresist material are provided. The photoresist material is derived by creating a high resolution insoluble, or more soluble, polymer structure as a result of a controlled chemical reaction with a functionalized perfluoropolyether. Also provided are methods of coating an inkjet printhead surface and processing the coated inkjet printhead surface.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: August 20, 2013
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Garry Dale Hinch, Sterling Chaffins, Kevin P. DeKam
  • Patent number: 8507188
    Abstract: The present invention relates to a method for manufacturing micro/nanofluidic devices that incorporate overhanging micromechanical and metal components monolithically integrated with the fluidic circuitry.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 13, 2013
    Assignee: Poc Microsolutions, S.L.
    Inventors: Francisco Javier Blanco Barrio, Maria Aguirregabiria Izaguirre, Aitor Ezkerra Fernandez, Janette Lilian Maria Schulze, Jesús Miguel Ruano Lopez, Kepa Mayora Oria
  • Publication number: 20130199271
    Abstract: A method for detecting explosive substance particles in a gas flow includes passing the gas flow through an adsorption net for a specified time period so as to adsorb explosive-substance particles in the gas flow on the adsorption net. The adsorption not includes a microfilter having a pore size that is smaller than the particle size of the explosive-substance particles. The adsorption net is heated to a heating temperature so as to desorb the explosive-substance particles from the adsorption net. A gas flow comprising the desorbed explosive-substance particles is supplied to a detector so as to detect the explosive-substance particles.
    Type: Application
    Filed: June 17, 2011
    Publication date: August 8, 2013
    Applicant: EADS DEUTSCHLAND GMBH
    Inventors: Sebastian Beer, Thomas Ziemann, Alois Friedberger
  • Publication number: 20130186856
    Abstract: The embodiments disclose a method of fabricating servo integrated templates including depositing a protective layer on servo zone resist layer patterns, patterning integrated data zone features into a substrate, depositing a protective layer on data zones and removing the servo zone protective layer and patterning integrated servo zone features into the substrate and removing the data zone protective layer creating a substrate template used in fabricating data and servo zone integrated patterned stacks.
    Type: Application
    Filed: March 13, 2013
    Publication date: July 25, 2013
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventor: SEAGATE TECHNOLOGY LLC
  • Patent number: 8492071
    Abstract: A curable composition containing (A) a polymerizable compound having an alkyleneoxy group having two or more carbon atoms, (B) a polymerizable compound lacking an alkyleneoxy group having two or more carbon atoms, (C) an i-line absorber, (D) a photopolymerization initiator, (E) an alkali-soluble resin, and (F) a pigment, wherein a mass ratio [(A)/((A)+(B))] is from 0.5 to 0.9, and an i-line transmittance obtainable when the curable composition is formed into a coating film having a thickness of 0.7 ?m, is 10% or less. The curable composition of the invention has enhanced adhesiveness upon forming a micro pattern, and can reduce development residues in unexposed non-cured areas. The curable composition of the invention is suitable for the use in color filters.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 23, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Hiroshi Taguchi
  • Publication number: 20130183450
    Abstract: A method of forming a patterned photoresist layer having a hydrophobic surface is provided. The method includes forming a photoresist layer on a substrate and image patterning. The photoresist layer may comprise a polymeric material. The imaged photoresist layer may then undergo a two-stage post-exposure bake. A surface treatment may be performed on the photoresist layer in between the two-stage post-exposure bake. The surface treatment may include applying a siloxane solution on a partially post-exposure baked photoresist layer. The post-exposure baked photoresist layer may then be developed to form the patterned photoresist layer. The method may be used to form a hydrophobic photoimageable nozzle plate of a micro-fluid ejection head having improved mechanical properties and stable hydrophobic properties.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 18, 2013
    Inventors: David BERNARD, Paul DRYER, Bart MANSDORF, Xiaoming WU
  • Patent number: 8481248
    Abstract: A method for fabricating a micromachine component of resin comprising step (a) of forming a sacrifice layer on a substrate, step (b) of forming at least two photosensitive resin composition layers sequentially on the sacrifice layer, and performing photolithography of each photosensitive resin composition layer to form an air gap portion defining the circumferential edge portion of the micromachine component and an air gap portion where an internal structure of the micromachine component is constituted to form a multilayer structure, step (c) for depositing dry film resist on the multilayer structure of the cured photosensitive resin composition layer, and performing photolithography of the dry film resist layer to form a cured dry film resist layer in which an air gap portion defining the circumferential edge of a shroud layer and an air gap where the structure of the shroud layer is constituted are formed, and step (d) for separating the micromachine component having the multilayer structure of the cured ph
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: July 9, 2013
    Assignees: Tohoku University, Nippon Kayaku Kabushiki Kaisha
    Inventors: Nao Honda, Satoshi Mori, Shuji Tanaka, Masayoshi Esashi
  • Patent number: 8481249
    Abstract: A method for manufacturing a recording head including forming a flow-channel side-wall forming layer which contains a photosensitive resin, on a substrate having ejection energy generating elements and wiring thereon; exposing the flow-channel side-wall forming layer to light, and optically determining a flow channel; forming a shape stabilizing layer which contains a photosensitive resin; forming an ejection orifice forming layer which contains a photopolymerization initiator and a negative photosensitive resin; exposing the ejection orifice forming layer to light, and optically determining an ejection orifice; and developing the ejection orifice forming layer, shape stabilizing layer, and flow-channel side-wall forming layer, in the order named. The photosensitive resin in the shape stabilizing layer is a material to be cured by a component that is produced upon the exposure of the ejection orifice forming layer and derives from the photopolymerization initiator.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: July 9, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keiji Matsumoto, Kazuhiro Asai, Tetsuro Honda, Kunihito Uohashi, Shuji Koyama, Masaki Ohsumi
  • Publication number: 20130162375
    Abstract: A method for producing a metamaterial including an electromagnetic wave resonator resonating with an electromagnetic wave. The method includes the steps of: (a) forming a support by a nanoimprint method or a photolithography method, the support including a portion on which an electromagnetic wave resonator is to be formed; and (b) vapor-depositing a material which can form the electromagnetic wave resonator on the portion of the support to thereby arrange the electromagnetic wave resonator on the support.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 27, 2013
    Applicant: Asahi Glass Company, Limited
    Inventor: Asahi Glass Company, Limited
  • Publication number: 20130162737
    Abstract: A printhead for printing ink includes a particulate filter manufactured from a polymer sheet such as a polyimide sheet. Filter openings within the particulate filter can be formed using a mask and a laser beam to ablate exposed portions of the polymer sheet. Embodiments of the present teachings can result in the formation smaller filter openings at a smaller pitch within the polymer sheet than, for example, stainless steel particulate filters, and thus more openings which cover a larger percentage of the filter surface for a given filter size, which can result in a reduction of fluid pressure within the printhead. Thus smaller filter openings for improved filtering of smaller particulates can be formed while maintaining a sufficient ink flow at a sufficiently low pressure within the printhead during operation.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: Xerox Corporation
    Inventors: Terrance L. Stephens, James D. Padgett, John R. Andrews, Andrew W. Hays
  • Patent number: 8465905
    Abstract: An apparatus (300) for printing conductive lines on a substrate includes a printing element (320) for printing a pattern of conductive material (204) on the substrate; and an imaging element (324) configured to sinter a first part of the pattern of conductive material.
    Type: Grant
    Filed: April 4, 2011
    Date of Patent: June 18, 2013
    Assignee: Eastman Kodak Company
    Inventor: Israel Schuster
  • Publication number: 20130149648
    Abstract: The present disclosure provides a method of making a nozzle chip including a step of forming an ejection orifice row by performing irradiation with light rays using a mask having ejection orifice row patterns that form an ejection orifice row pattern of one nozzle chip when the ejection orifice row patterns are connected to each other through a connection portion. The mask is configured such that, with respect to a direction in which ejection orifices of the ejection orifice row are arranged, an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the smallest distance from the connection portion is irradiated is less than an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the greatest distance from the connection portion is irradiated.
    Type: Application
    Filed: December 7, 2012
    Publication date: June 13, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Publication number: 20130146776
    Abstract: A method of making at least a portion of an imager includes obtaining an imager component having a substrate layer, a photo-sensitive layer, and a first image element and a second image element disposed between the substrate layer and the photo-sensitive layer, and delivering ultraviolet light through the substrate layer and between the first image element and the second image element to reach the photo-sensitive layer, wherein the ultraviolet light interacts with a portion of the photo-sensitive layer to form a photo-resist structure.
    Type: Application
    Filed: December 12, 2011
    Publication date: June 13, 2013
    Applicant: VARIAN MEDICAL SYSTEMS, INC.
    Inventor: Ivan P. MOLLOV
  • Publication number: 20130149196
    Abstract: The present invention relates to a method for functionalising fluid lines (1b) in a micromechanical device, the walls of which include an opaque layer. For this purpose, the invention provides a method for functionalising a micromechanical device provided with a fluid line including a peripheral wall (5) having a surface (2) outside the line and an inner surface (3) defining a space (1b) in which a fluid can circulate, the peripheral wall at least partially including a silicon layer (5a).
    Type: Application
    Filed: June 29, 2011
    Publication date: June 13, 2013
    Applicant: Commissariat A L'Energie Atomique Et Aux Engergies Alternatives
    Inventors: Vincent Agache, Antoine Hoang, Francoise Vinet
  • Publication number: 20130133402
    Abstract: Disclosed herein are a multi-layer chip for gas chromatography and a method of fabricating the multi-layer chip. The multi-layer chip is fabricated by: forming fine channels at the same positions of a plurality of substrates using only a single photo mask and an alignment key; and stacking the substrates. That is, the multi-layer chip can be fabricated by a simple method, and the total length of the fine channels can be increase without a limit by stacking more substrates. In addition, layers of the substrates can be coated with different stationary phases, and a temperature control device can be attached to heat transfer contact portions of the multi-layer chip for controlling the temperature of the multi-layer chip rapidly and precisely. Therefore, the multi-layer chip may be useful for high-separability gas chromatography to separate and analyze an infinitesimal amount of a sample.
    Type: Application
    Filed: December 22, 2011
    Publication date: May 30, 2013
    Applicant: KOREA BASIC SCIENCE INSTITUTE
    Inventor: Sanggoo KIM
  • Publication number: 20130133573
    Abstract: A deposition mask includes a mask main body and a coating layer. The mask main body includes a plurality of slits penetrating the mask main body. The coating layer is coated on an entire surface of the mask main body. The coating layer is made of a material different from a material of the main body, and it has a magnetic force stronger than that of the main body. Each of the slits has an open area, and a thickness of the coating layer controls a width of the open area. A photolithography process is used to form the plurality of slits.
    Type: Application
    Filed: May 9, 2012
    Publication date: May 30, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Sung-Joong JOO, Myung-Soo HUH, Suk-Won JUNG, Choel-Min JANG, Sung-Yong LEE, Cheol-Rae JO, In-Ae HAN
  • Patent number: 8450050
    Abstract: A method for manufacturing a recording head including forming a flow-channel side-wall forming layer which contains a photosensitive resin, on a substrate having ejection energy generating elements and wiring thereon; exposing the flow-channel side-wall forming layer to light, and optically determining a flow channel; forming a shape stabilizing layer which contains a photosensitive resin; forming an ejection orifice forming layer which contains a photopolymerization initiator and a negative photosensitive resin; exposing the ejection orifice forming layer to light, and optically determining an ejection orifice; and developing the ejection orifice forming layer, shape stabilizing layer, and flow-channel side-wall forming layer, in the order named. The photosensitive resin in the shape stabilizing layer is a material to be cured by a component that is produced upon the exposure of the ejection orifice forming layer and derives from the photopolymerization initiator.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: May 28, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keiji Matsumoto, Kazuhiro Asai, Tetsuro Honda, Kunihito Uohashi, Shuji Koyama, Masaki Ohsumi
  • Publication number: 20130130181
    Abstract: A method of forming an alignment film is provided. A photosensitive polymer material is provided, wherein the photosensitive polymer material defines a first pixel area and a second pixel area respectively defining a first sub-pixel area and the second sub-pixel area. In a first exposure, the photosensitive polymer material is irradiate by a first exposure light and a second exposure light to form a first alignment portion and a second alignment portion with different alignment directions in the first sub-pixel of the first pixel area and the second sub-pixel of the second pixel area respectively. In a second exposure, the photosensitive polymer material is irradiated with the first exposure light and the second exposure light to form a third alignment portion and a fourth alignment portion with different alignment directions in the first sub-pixel of the second pixel area and the second sub-pixel of the first pixel area respectively.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 23, 2013
    Applicants: CHIMEI INNOLUX CORPORATION, INNOCOM TECHNOLOGY(SHENZHEN)CO., LTD.
    Inventors: Innocom Technology(Shenzhen)Co., Ltd., Chimei Innolux corporation
  • Patent number: 8445166
    Abstract: There is provided a method of fabricating a lithography mask, the method including: forming a transparent polymer layer on a surface of a first substrate where a convex-concave pattern is formed; separating the transparent polymer layer from the first substrate, the transparent polymer layer having a convex-concave surface formed by the convex-concave pattern of the first substrate transferred thereonto; depositing a metal thin film on the convex-concave surface; forming a viscous film on a second substrate; disposing the transparent polymer layer on the second substrate such that the viscous film and metal thin film are partially bonded together; and separating the transparent polymer layer from the second substrate such that a portion of the metal thin film bonded to the viscous film is removed, wherein a metal thin film pattern having the portion of the metal thin film removed therefrom is formed on the convex-concave surface.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: May 21, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho Young Song, Dong You Kim, Won Ho Jung, Young Jin Cho, Young Chun Kim