Including Material Deposition Patents (Class 430/324)
  • Patent number: 11953392
    Abstract: The present application discloses a packaging structure and method of an MEMS pressure sensor. The packaging structure of the MEMS pressure sensor includes: a film, forming a sealing chamber with a base, during manufacturing the sealing chamber is internally equipped with a sensing medium and a pressure sensor chip, when the external pressure increases, the film bends towards an inner side of the sealing chamber to cause the sealing chamber to contract and transmit pressure to the pressure sensor chip through the sensing medium. The packaging structure of the present application can avoid the sensing chip from being damaged by excessive contraction of the sealing chamber due to pressure overload, and thus achieves overload protection.
    Type: Grant
    Filed: September 21, 2023
    Date of Patent: April 9, 2024
    Assignee: Wuxi Sencoch Semiconductor Co., Ltd.
    Inventor: Tongqing Liu
  • Patent number: 11931918
    Abstract: A microfluidic component for a sample separation apparatus includes a component body including ceramic and at least one microfluidic structure in the component body. The component body is manufactured by additive manufacturing, in particular by three-dimensional printing.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: March 19, 2024
    Assignee: Agilent Technologies, Inc.
    Inventors: Darijo Zeko, Tony Ziegler, Thomas Ortmann
  • Patent number: 11923212
    Abstract: An apparatus for treating a substrate includes a process chamber having a process space inside, a support unit that supports the substrate in the process space, a heating unit that is provided inside the support unit and that heats the substrate, an exhaust unit that evacuates the process space, and a gas supply unit that supplies a gas into the process space, and the gas supply unit supplies the gas at a temperature selected from a first temperature and a second temperature.
    Type: Grant
    Filed: October 16, 2020
    Date of Patent: March 5, 2024
    Assignee: Semes Co., Ltd.
    Inventors: Kyungsik Shin, Junho Kim, Jinki Shin
  • Patent number: 11841517
    Abstract: A diffractive optical element is provided that includes a first resin layer having steps on one surface, a second resin layer integrated with the first resin layer in tight contact, and a high refractive index layer disposed between a wall surface of the first resin layer and a wall surface of the second resin layer, wherein the high refractive index layer has a refractive index higher than those of the first resin layer and of the second resin layer, and the high refractive index layer is formed continuously to extend beyond the boundary between the wall surface and the inclined surface adjacent thereto, and to partly overlap the inclined surface.
    Type: Grant
    Filed: October 12, 2020
    Date of Patent: December 12, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kenichi Iwata
  • Patent number: 11840016
    Abstract: A device includes a coater, a dispenser, and a treatment portion. The coater is to coat, layer-by-layer, a build material relative to a build pad to form a 3D object. The dispenser is to at least dispense a fluid including a first at least potentially electrically conductive material in at least some selected locations of an external surface of the 3D object. The treatment portion is to treat the 3D object to substantially increase electrically conductivity on the external surface of the 3D object at the at least some selected locations.
    Type: Grant
    Filed: June 17, 2022
    Date of Patent: December 12, 2023
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Kristopher J. Erickson, Thomas C. Anthony, Lihua Zhao
  • Patent number: 11821893
    Abstract: A process of simply, cheaply, and reproducibly creating complex tissue models using screen printing and the tissue model prepared using the screen printing process. These models are amenable to high throughput screening. They will allow the study of components of disease progression and can be used for screening therapies.
    Type: Grant
    Filed: February 23, 2021
    Date of Patent: November 21, 2023
    Assignees: University of Maryland, Baltimore County, University of Maryland, Baltimore
    Inventors: Erin Lavik, Steve Bernstein, Adam Day, Bryan Ibarra
  • Patent number: 11796919
    Abstract: A resist pattern formation method includes: forming on a substrate a resist layer containing a base resin, a sensitizer precursor, an acid generator, a base generator, and a base; generating a sensitizer from the sensitizer precursor; generating an acid from the acid generator and a base from the base generator; performing heat treatment on the resist layer after flood exposure; and developing the resist layer after the heat treatment. A ratio (C1=A1/B1) of a value (A1) representing an acid in pattern exposure to a value (B1) representing a base in the pattern exposure satisfies a relationship 0.9×C1<C2<10×C1 relative to a ratio (C2=A2/B2) of a value (A2) representing an acid in flood exposure to a value (B2) representing a base in the flood exposure.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: October 24, 2023
    Assignee: OSAKA UNIVERSITY
    Inventor: Seiichi Tagawa
  • Patent number: 11747732
    Abstract: A digital masking system includes a supporting structure for supporting a material, and a pattern imaging apparatus. The pattern imaging apparatus includes a light source device, multiple imaging devices that convert light from the light source device into a plurality of light beams each representing an image, and a combiner that combines the light beams into a single light beam which is projected toward a material.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: September 5, 2023
    Assignee: Jabil Inc.
    Inventors: Scott Klimczak, Nicholas Diaco
  • Patent number: 11749561
    Abstract: A method for etching a metal containing feature is provided. Using a pattern mask, layers of material are etched to expose a portion of a metal containing feature. At least a portion of the exposed metal containing feature is etched, and is replaced by the growth of a filler dielectric. The etched portion of the metal containing feature and the filler dielectric reduce the unwanted conductivity between adjacent metal containing features.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: September 5, 2023
    Assignee: Applied Materials, Inc.
    Inventor: Suketu Arun Parikh
  • Patent number: 11709427
    Abstract: A positive resist composition comprising a base polymer comprising recurring units having a carboxyl group whose hydrogen is substituted by a pyridine ring-containing tertiary hydrocarbyl group.
    Type: Grant
    Filed: December 30, 2020
    Date of Patent: July 25, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masahiro Fukushima
  • Patent number: 11662658
    Abstract: A photo-mask and a semiconductor process are provided. The photo-mask includes a substrate and a non-printable pattern on the substrate. A pattern size of the non-printable pattern is smaller than a critical resolution of a lithography equipment using the photo-mask to perform a lithography process.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: May 30, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: You-Ming Ke
  • Patent number: 11619879
    Abstract: The preset invention provides an imprint apparatus including a supplying unit including a plurality of orifices which discharge droplets of an imprint material, and configured to supply the imprint material onto a substrate via the plurality of the orifices, and a processing unit configured to perform processing to determine positions where the droplets of the imprint material are to be supplied on the substrate based on information, which indicates a relationship between an elapsed time since the supplying unit has supplied the imprint material on the substrate and a spread of the droplets of the imprint material on the substrate corresponding to the elapsed time, and a predicted time since the supplying unit has supplied the imprint material onto the substrate until a mold is brought into contact with imprint material.
    Type: Grant
    Filed: November 6, 2020
    Date of Patent: April 4, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Toyoaki Sugimoto
  • Patent number: 11561474
    Abstract: The disclosure provides a prebaking device and a prebaking system for display substrate. The prebaking device includes a top plate, a bottom plate, a first side plate, a second side plate, a third side plate defined opposite to the first side plate and a fourth side plate defined opposite to the second side plate, the top plate, the bottom plate, the first side plate, the second side plate, the third side plate and the fourth side plate form a chamber cooperatively, the first side plate is defined with a window, the second side plate is defined with an air inlet hole to connect an air supply pipe of a hot air system, the fourth side plate is defined with an air exhaust hole to connect an exhaust system, the inner surface of the top plate is defined with an anti-adhere film.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: January 24, 2023
    Assignee: HKC Corporation Limited
    Inventor: Jianfeng Shan
  • Patent number: 11473991
    Abstract: Semiconductor MEMS pressure sensors that can produce a linear and large output signal when subject to a small pressure, without an increase to the front to back span error. One example can experience large deflections without causing catastrophic damage to the membrane. The pressure sensor can include a silicon layer having opposing surfaces, an etched pattern in of the surfaces of the silicon layer, and an etched cavity on the opposite surface of the silicon layer to form a membrane. The etched patterned can include a series of concentric stiffening ribs, an inverted boss, large depression areas next to the membrane edge and/or the boss, and piezoresistive strain concentrators. The ribs and depressions can be formed onto the silicon membrane by anisotropic or isotropic etch techniques. Piezoresistive devices can be diffused into the membrane in the regions near the strain concentrators to form a Wheatstone bridge or other measurement structure.
    Type: Grant
    Filed: December 29, 2019
    Date of Patent: October 18, 2022
    Assignee: MEASUREMENT SPECIALTIES, INC.
    Inventor: Fernando Alfaro
  • Patent number: 11462405
    Abstract: A pattern-forming method includes forming a prepattern and including a first polymer is formed on a silicon-containing film on a substrate. An underlayer film including a second polymer is formed in recessed portions of the prepattern. A composition for directed self-assembled film formation including a third polymer is applied on the underlayer film and the prepattern. The first polymer includes a first structural unit. The second polymer includes: a molecular chain including the first structural unit and a second structural unit that differs from the first structural unit; and an end structure that bonds to one end of the molecular chain and includes at least one selected from the group consisting of an amino group, a hydroxy group and a carboxy group. The third polymer is a block copolymer including a block of the first structural unit and a block of the second structural unit.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: October 4, 2022
    Assignee: JSR CORPORATION
    Inventors: Hiroyuki Komatsu, Miki Tamada, Hitoshi Osaki, Tomoki Nagai
  • Patent number: 11378884
    Abstract: The present disclosure provides a method for lithography patterning in accordance with some embodiments. The method includes forming a photoresist layer over a substrate. The photoresist layer includes at least an acid labile group (ALG) and a thermo-base generator (TBG). The method further includes exposing a portion of the photoresist layer to a radiation and performing a baking process after the exposing of the portion of the photoresist layer. The TBG releases a base during the performing of the baking process, resulting in a chemical reaction between the ALG and the base. The method further includes removing an unexposed portion of the photoresist layer, resulting in a patterned photoresist layer.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: July 5, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chen-Yu Liu, Ya-Ching Chang, Cheng-Han Wu, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 11299572
    Abstract: The present application can provide a block copolymer and a use thereof. The block copolymer of the present application can have excellent self-assembly properties or phase separation characteristics and excellent etching selectivity, and various other functions as required can be freely imparted thereto.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: April 12, 2022
    Inventors: Je Gwon Lee, No Jin Park, Jung Keun Kim, Eun Young Choi, Se Jin Ku, Mi Sook Lee, Hyung Ju Ryu, Sung Soo Yoon
  • Patent number: 11192972
    Abstract: According to one embodiment, a polymer material is disclosed. The polymer material contains a polymer. The polymer contains a first monomer unit having a lone pair and an aromatic ring at a side chain, and a second monomer unit including a crosslinking group at a terminal of the side chain, with its molar ratio of 0.5 mol % to 10 mol % to all monomer units in the polymer. The polymer material can be used for manufacturing a composite film as a mask pattern for processing a target film on a substrate. The composite film can be formed by a process including, forming an organic film on the target film with the polymer material, patterning the organic film, and forming the composite film by impregnating a metal compound into the patterned organic film.
    Type: Grant
    Filed: September 6, 2019
    Date of Patent: December 7, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Koji Asakawa, Norikatsu Sasao, Shinobu Sugimura
  • Patent number: 11192822
    Abstract: A method for plating nickel onto a glass surface of a substrate by sequentially contacting the surface with a solution having an oxidizing agent, a solution containing a silane compound, a Pd/Sn solution, and a nickel ion-containing solution, thereby accomplishing an electroless nickel plating process.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: December 7, 2021
    Assignee: WESTERN DIGITAL TECHNOLOGIES, INC.
    Inventors: Mohd Aizat Abdul Wadi, Shian Ming Liew, Shan Lih Lim
  • Patent number: 11150555
    Abstract: A non-ionic photoacid generator and a chemically amplified positive-type photoresist composition for a thick film including the non-ionic photoacid generator. The non-ionic photoacid generator may not only exhibit high solubility in a solvent of the photoresist composition, but may also exhibit chemical and thermal stability and high sensitivity. In particular, the non-ionic photoacid generator is decomposed by light to generate an acid, and at the same time, can exhibit a corrosion preventing effect on a metal substrate.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: October 19, 2021
    Assignee: LG CHEM, LTD.
    Inventors: Min Young Lim, Tae Seob Lee, Ji Hye Kim
  • Patent number: 11143950
    Abstract: A mask manufacturing method includes stacking a first antireflection layer on a first stacked body at a first film thickness so as to create a first transmissive type mask. In the first stacked body, a first semitransmissive layer, a first reflective layer, and a first transmissive substrate are stacked. The mask manufacturing method includes stacking a second antireflection layer on a second stacked body at a second film thickness so as to create a second transmissive type mask. In the second stacked body, a second semitransmissive layer, a second reflective layer, and a second transmissive substrate are stacked. The second film thickness is determined in accordance with a thermal expansion amount of the first mask.
    Type: Grant
    Filed: February 28, 2018
    Date of Patent: October 12, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Yuki Akamatsu, Nobuhiro Komine, Takashi Koike
  • Patent number: 10533249
    Abstract: A method of forming a self-cleaning film system includes depositing a fluorinated material selected from the group consisting of fluorinated organic compounds, fluorinated inorganic compounds, and combinations thereof onto a substrate to form a first layer. The method includes removing a plurality of portions of the first layer to define a plurality of cavities in the first layer and form a plurality of projections that protrude from the substrate. The method includes depositing a photocatalytic material onto the plurality of projections and into the plurality of cavities to form a second layer comprising: a plurality of bonded portions disposed in the plurality of cavities and in contact with the substrate, and a non-bonded portion disposed on the plurality of projections and spaced apart from the substrate. The method also includes, after depositing the photocatalytic material, removing the non-bonded portion to thereby form the self-cleaning film system.
    Type: Grant
    Filed: May 18, 2017
    Date of Patent: January 14, 2020
    Assignee: GM GLOBAL TECHNOLOGY OPERATIONS LLC
    Inventors: Gayatri V. Dadheech, Thomas A. Seder, James A. Carpenter
  • Patent number: 10527941
    Abstract: The present disclosure provides a method for lithography patterning in accordance with some embodiments. The method includes forming a resist layer over a substrate and performing an exposing process to the resist layer. The resist layer includes a polymer backbone, an acid labile group (ALG) bonded to the polymer backbone, a sensitizer bonded to the polymer backbone, a photo-acid generator (PAG), and a thermo-base generator (TBG). The method further includes baking the resist layer at a first temperature and subsequently at a second temperature. The second temperature is higher than the first temperature. The method further includes developing the resist layer in a developer, thereby forming a patterned resist layer.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: January 7, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chen-Yu Liu, Ya-Ching Chang, Cheng-Han Wu, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 10345093
    Abstract: An arrangement for determining four-dimensional properties of an interface of an object, including a light source includes: a unit for forming photonic jets, a unit for performing large field of view interferometric imaging of the interface and their combination, a unit for passing the light being close to the interface and direct the light to the interface, and an image unit. The arrangement includes a unit for performing phase shifting interferometric imaging of the interface, imaging a unit for receiving light from the interface modulated by e.g. microspheres for forming super-resolution image information by combining light interferometry with the photonic jets, and a processor unit for determining four-dimensional properties of the interface on the basis of the image information formed by the phase shifting interferometric imaging by utilizing effect of the photonic jets. The arrangement also can also include a unit to carry out the measurement using polarized light.
    Type: Grant
    Filed: December 11, 2015
    Date of Patent: July 9, 2019
    Assignee: UNIVERSITY OF HELSINKI
    Inventors: Ivan Kassamakov, Edward Haeggström
  • Patent number: 10241394
    Abstract: In a pattern formation method according to an embodiment, a resist pattern is formed on a first film formed on a substrate. In the process for forming the resist pattern, the resist pattern includes a first pattern including a defect in a predetermined region on the first film. Next, a second film is accumulated on the first pattern in the predetermined region. Furthermore, a second pattern is formed in the first film with the resist pattern and the second film. Then, a third pattern is formed in the predetermined region on the first film.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: March 26, 2019
    Assignee: Toshiba Memory Corporation
    Inventors: Keiko Morishita, Shingo Kanamitsu, Hideaki Sakurai
  • Patent number: 10126643
    Abstract: The present disclosure provides an anti-ESD photomask and method of the same. In the method, a substrate is provided first. Then, a light-shielding layer is formed on a portion of the substrate, in which the light-shielding layer includes a Mo-containing layer. Next, a surface treatment operation is performed to convert a surface of the portion of the substrate and a surface of the light-shielding layer into a non-conductive layer.
    Type: Grant
    Filed: January 30, 2017
    Date of Patent: November 13, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Hung Kung, Hao-Zhang Lai
  • Patent number: 10061199
    Abstract: Patterning methods for creating features with sub-resolution dimensions that are self-aligned in photoresist materials. Techniques include selectably creating antispacers (or spacers) in soft materials, such as photoresist. A photoresist without a photo acid generator is deposited on a relief pattern of a solubility-neutralized photoresist material having a photo acid generator. A photomask then defines where photo acid is generated from a corresponding activating exposure. Photo acid is then diffused into the photoresist, that is free of the photo acid generator, to cause a solubility shift for subsequent development. These selectably-created antispacers can be line segments having widths defined by acid diffusion lengths, which can be widths of 1 nanometer to tens of nanometers. Moreover, the creation of antispacers, their location, and length, can be controlled using a photomask.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: August 28, 2018
    Assignee: Tokyo Electron Limited
    Inventor: Anton J. deVilliers
  • Patent number: 10052622
    Abstract: A method of forming a self-cleaning film system includes depositing a perfluorocarbon siloxane polymer onto a substrate to form a first layer. The method includes removing a plurality of portions of the first layer to define a plurality of cavities in the first layer and form a plurality of projections that protrude from the substrate. The method includes depositing a photocatalytic material onto the plurality of projections and into the plurality of cavities to form a second layer comprising: a bonded portion disposed in the plurality of cavities and in contact with the substrate, and a non-bonded portion disposed on the plurality of projections and spaced apart from the substrate. The method also includes, after depositing the photocatalytic material, removing the non-bonded portion to thereby form the self-cleaning film system.
    Type: Grant
    Filed: February 10, 2017
    Date of Patent: August 21, 2018
    Assignee: GM Global Technology Operations LLC
    Inventors: Gayatri V. Dadheech, Thomas A. Seder, James A. Carpenter
  • Patent number: 10056256
    Abstract: A photoresist layer is formed over a patternable layer. The photoresist layer containing a negative tone photoresist material. An exposure process is performed to the photoresist layer. A post-exposure bake (PEB) process is performed to the photoresist layer. The photoresist layer is rinsed to develop a photoresist pattern. A primer material is applied to the photoresist pattern. The primer material is configured to: straighten a profile of the photoresist pattern, or to increase a number of deprotected acid labile group (ALG) units of the photoresist material, or to bond with the deprotected ALG units of the photoresist material. After the primer material is applied, the photoresist pattern is enlarged by coating a shrink material over the photoresist pattern, baking the shrink material, and removing portions of the shrink material. The patternable layer is patterned using the enlarged photoresist pattern as a mask.
    Type: Grant
    Filed: March 16, 2016
    Date of Patent: August 21, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wei-Han Lai, Ching-Yu Chang
  • Patent number: 10029507
    Abstract: Identity document comprising a data medium with data. These data comprise an image of a face. This image consists of two component images that are observed at different angles. By simultaneously viewing the two images, the person studying the identity document can obtain further information about the face. This is possible because the two images are applied at a relatively small angle of 5° to 20°.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: July 24, 2018
    Assignee: SDU Identification B.V.
    Inventor: Jan van den Berg
  • Patent number: 9916973
    Abstract: Provided are photolithographic methods.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: March 13, 2018
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Phillip D. Hustad, Jong Keun Park
  • Patent number: 9804103
    Abstract: A substrate to be inspected includes a first pattern constructed with a repetitive pattern that is not resolved by a wavelength of a light source, and at least one alignment mark that is arranged on the same plane as the first pattern. The alignment mark includes a second pattern constructed with a repetitive pattern that is not resolved by the wavelength of the light source, and a programmed defect that is provided in the second pattern and not resolved by the wavelength of the light source. A focus offset is adjusted such that the strongest signal of the programmed defect is obtained with respect to a base value of a gradation value in an optical image of the programmed defect by capturing the optical image while changing a focal distance between the surface in which the first pattern is provided and an optical system.
    Type: Grant
    Filed: May 13, 2015
    Date of Patent: October 31, 2017
    Assignee: Nuflare Technology, Inc.
    Inventors: Hideo Tsuchiya, Riki Ogawa
  • Patent number: 9733568
    Abstract: A tool and a method of developing are provided. In various embodiments, the method of developing includes rotating a wafer at a first rotating speed. The method further includes dispensing a developer solution onto the wafer at the first rotating speed by a first nozzle above the wafer, wherein the first nozzle moves back and forth along a path during dispensing the developer solution. The method further includes rotating the wafer at a second rotating speed to spread the developer solution onto the wafer uniformly. The method further includes dispensing a rinse solution onto the wafer at the second rotating speed by a second nozzle above the wafer.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: August 15, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Rem Chen, Ming-Shane Lu, Chung-Hao Chang, Jui-Ping Chuang, Li-Kong Turn, Fei-Gwo Tsai
  • Patent number: 9625760
    Abstract: Provided are method for manufacturing a color filter substrate, color filter substrate and display device. The method includes the following steps: providing a substrate; forming a color pixel unit on the substrate; forming a protective layer on the color pixel unit; coating an alignment film paint and a spacer paint on the protective layer in turn, and forming an alignment film and a spacer via a single patterning process or double patterning processes.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: April 18, 2017
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE DISPLAY TECHNOLOGY CO., LTD.
    Inventors: Jikai Zhang, Tonghua Yang, Jiyu Wan, Dan Wang, Gyuhyun Lee
  • Patent number: 9606432
    Abstract: Fabrication of a circuit structure is facilitated, in which a first exposure of a multi-layer structure is performed using a first mask, which defines positioning of at least one edge of an element to be formed above a substrate of the multi-layer structure. A second exposure of the multi-layer structure is performed using a second mask, which defines positioning of at least one other edge of the element. At least some material of the multi-layer structure is removed using, at least in part, the defined positioning of the at least one edge and the at least one other edges of the element, to form the element above the substrate. In some examples, multiple elements are formed, the multiple elements being hardmask elements to facilitate an etch process to etch a substrate material.
    Type: Grant
    Filed: November 5, 2014
    Date of Patent: March 28, 2017
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Guoxiang Ning, Xintuo Dai, Huang Liu, Chin Teong Lim
  • Patent number: 9568464
    Abstract: The invention relates to a method for manufacturing an apparatus for the processing of single molecules. According to this method, a self-assembling resist (155) is deposited on a processing layer (110, PL) and allowed to self-assemble into a pattern of two phases (155a, 155b). One of these phases (155a) is then selectively removed, and at least one aperture is generated in the processing layer (110, PL) through the mask of the remaining resist (155b). Thus apertures of small size can readily be produced that allow for the processing of single molecules (M), for example in DNA sequencing.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: February 14, 2017
    Assignee: Koninklijke Philips N.V.
    Inventors: Pieter Jan Van Der Zaag, Emiel Peeters, Roelof Koole, Falco Cornelius Marinus Jacobus Maria Van Delft
  • Patent number: 9412591
    Abstract: Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: August 9, 2016
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 9316914
    Abstract: A conductive metal pattern can be formed in a polymeric layer that has a reactive polymer that comprises (1) pendant groups that are capable of providing pendant sulfonic acid groups upon exposure, and (2) pendant groups that are capable of reacting in the presence of the sulfonic acid groups to provide crosslinking. The polymeric layer is patternwise exposed to provide non-exposed regions and exposed regions comprising a polymer comprising pendant sulfonic acid groups. The exposed regions are contacted with electroless seed metal ions to form a pattern of electroless seed metal ions. This pattern can be contacted with a non-reducing reagent that reacts with the electroless seed metal ions to form an electroless seed metal compound that has a pKsp of less than 40, and which is electrolessly plated with a conductive metal.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: April 19, 2016
    Assignee: EASTMAN KODAK COMPANY
    Inventor: Mark Edward Irving
  • Patent number: 9293343
    Abstract: A method of forming patterns of a semiconductor device includes forming a material film on a substrate, forming a hard mask on the material film, forming a first mold mask pattern and a second mold mask pattern on the hard mask, forming a pair of first spacers to cover opposite sidewalls of the first mold mask pattern, and a pair of second spacers to cover opposite sidewalls of the second mold mask pattern, forming a first gap and a second gap to expose the hard mask by removing the first mold mask pattern and the second mold mask pattern, the first gap being formed between the pair of first spacers and the second gap being formed between the pair of second spacers, forming a mask pattern on the hard mask to cover the first gap and expose the second gap, forming an auxiliary pattern to cover the second gap, removing the mask pattern; and forming a hard mask pattern by patterning the hard mask using the first spacers, the second spacers and the auxiliary pattern as a mask.
    Type: Grant
    Filed: May 7, 2015
    Date of Patent: March 22, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Do-Haing Lee, Il-Sup Kim, Do-Hyoung Kim, Woo-Cheol Lee, Hyun-Ho Jung
  • Patent number: 9273398
    Abstract: A process for metallizing nanomaterial including subjecting nanomaterial in a metallizing solution to microwave radiation; nanomaterial made by such a process; and density gradient separation of such material. This abstract is provided to comply with the rules requiring an abstract which will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure and is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims, 37 C.F.R. 1.72(b).
    Type: Grant
    Filed: January 16, 2010
    Date of Patent: March 1, 2016
    Assignee: Nanoridge Materials, Inc.
    Inventors: Clayton Gallaway, Dean Hulsey, Michael Searfass, Joshua Falkner
  • Patent number: 9252027
    Abstract: In accordance with an embodiment, a method of forming a pattern includes forming a first layer on a fabrication target film, making a mold and the first layer push each other to form a protrusion on the fabrication target film, and forming first and second regions, forming a block copolymer layer including first and second blocks in the first and second regions, phase-separating the block copolymer layer, forming second and third layers in the first region, and forming fourth and fifth layers in the second region; and removing the third and fifth layers. The first region is surrounded by the first layer and the protrusion. The second region is surrounded by the first layer and contacts the first region via the protrusion. The third layer is surrounded by the second layer. The fifth layer is surrounded by the fourth layer.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: February 2, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yusuke Kasahara, Kei Kobayashi
  • Patent number: 9247650
    Abstract: A method for making conductive wires is provided. Firstly, an ink having carbon nanotubes is provided. Secondly, a baseline is formed using the ink on a substrate. Thirdly, the baseline is electroless plated.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: January 26, 2016
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Yao-Wen Bai, Rui Zhang, Cheng-Hsien Lin
  • Patent number: 9228039
    Abstract: Crosslinkable reactive polymers comprise -A- and —B— recurring units, arranged randomly along a backbone. The -A- recurring units comprise pendant aromatic sulfonic acid oxime ester groups that are capable of providing pendant aromatic sulfonic acid groups upon irradiation with radiation having a ?max of at least 150 nm and up to and including 450 nm. The -A- recurring units are present in the reactive polymer in an amount of greater than 50 mol % and up to and including 98 mol % based on total reactive polymer recurring units. The —B— recurring units comprise pendant groups that provide crosslinking upon generation of the aromatic sulfonic acid groups in the -A- recurring units. The —B— recurring units are present in an amount of at least 2 mol %, based on total reactive polymer recurring units. These reactive polymers can be used in various pattern-forming methods.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: January 5, 2016
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Allan Wexler, Grace Ann Bennett, Kimberly S. Lindner
  • Patent number: 9091923
    Abstract: Contrast enhancing exposure apparatus and method for use in semiconductor fabrication are described. In one embodiment, a method for forming a pattern on a substrate, wherein the substrate includes a photoresist layer comprising photoacid generators (“PAGs”) and photobase generators (“PBGs”), is described.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: July 28, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George Liu, Vencent Chang, Norman Chen, Kuei Shun Chen, Chin-Hsiang Lin
  • Patent number: 9067238
    Abstract: A method of treating a laser-activated thermoplastic substrate having a metal compound dispersed therein is described. The substrate is contacted with an aqueous composition comprising: (i) a thiol functional organic compound; (ii) an ethoxylated alcohol surfactant; and (iii) xanthan gum. By use of the treatment composition, when the substrate is subsequently laser-activated and plated by electroless plating, extraneous plating of the substrate is substantially eliminated.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: June 30, 2015
    Inventors: Robert Hamilton, Ernest Long, Andrew M. Krol
  • Publication number: 20150140483
    Abstract: A conductive metal pattern is formed in a polymeric layer that has a polymer that comprises (1) pendant groups that are capable of providing pendant sulfonic acid groups upon exposure of the reactive polymer to radiation, and (2) pendant groups that are capable of reacting in the presence of the sulfonic acid groups to provide crosslinking. The polymeric layer is patternwise exposed to form non-exposed regions and exposed regions, which are contacted with a reducing agent to incorporate reducing agent therein. These exposed regions are then contacted with electroless seed metal ions to oxidize the reducing agent to form corresponding electroless seed metal nuclei that can be then electrolessly plated with a conductive metal.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventor: MARK EDWARD IRVING
  • Publication number: 20150140729
    Abstract: A method of making a structure having a patterned a base layer and useful in the fabrication of optical and electronic devices including bioelectronic devices includes, in one embodiment, the steps of: a) providing a layer of a radiation-sensitive resin; b) exposing the layer of radiation-sensitive resin to patterned radiation to form a base layer precursor having a first pattern of exposed radiation-sensitive resin and a second pattern of unexposed radiation-sensitive resin; c) providing a layer of fluoropolymer in a third pattern over the base layer precursor to form a first intermediate structure; d) treating the first intermediate structure to form a second intermediate structure; and e) selectively removing either the first or second pattern of resin by contacting the second intermediate structure with a resin developing agent, thereby forming the patterned base layer.
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Inventors: Marc FERRO, George MALLIARAS
  • Publication number: 20150140495
    Abstract: A conductive metal pattern is formed using a reactive polymer that can provide pendant sulfonic acid groups upon exposure to radiation, and (2) pendant groups that are capable of providing crosslinking. The polymeric layer is patternwise exposed to radiation to provide first exposed regions that are then contacted with electroless seed metal ions to form a pattern of electroless seed metal ions, followed by contact with a halide. At least some of the electroless seed metal halide can be exposed to form second exposed regions. The polymeric layer can be contacted with a reducing agent either: (i) to develop the electroless seed metal image in the second exposed regions, or (ii) to develop all of the electroless seed metal halide in the first exposed regions, and optionally contacted with a fixing agent. The electroless seed metal nuclei in the first exposed regions can be electrolessly plated with a conductive metal.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventor: Mark Edward Irving
  • Publication number: 20150140494
    Abstract: A conductive metal pattern is formed using a reactive polymer that comprises (1) pendant groups that are capable of providing pendant sulfonic acid groups upon exposure of the reactive polymer to radiation, and (2) pendant groups that are capable of reacting in the presence of the sulfonic acid groups to provide de-blocking and crosslinking in the reactive polymer. The polymeric layer is patternwise exposed to provide non-exposed regions and exposed regions comprising a polymer comprising pendant sulfonic acid groups. The polymeric layer is contacted with a reducing agent, followed by bleaching to remove surface amounts of the reducing agent in both non-exposed regions and exposed regions. The exposed regions are then contacted with electroless seed metal ions to oxidize the reducing agent and to form a pattern of corresponding electroless seed metal nuclei in the exposed regions. The corresponding electroless seed metal nuclei are then electrolessly plated with a conductive metal.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventor: Mark Edward Irving
  • Publication number: 20150140496
    Abstract: A conductive metal pattern can be formed in a polymeric layer that has a reactive polymer that comprises (1) pendant groups that are capable of providing pendant sulfonic acid groups upon exposure, and (2) pendant groups that are capable of reacting in the presence of the sulfonic acid groups to provide crosslinking. The polymeric layer is patternwise exposed to provide non-exposed regions and exposed regions comprising a polymer comprising pendant sulfonic acid groups. The exposed regions are contacted with electroless seed metal ions to form a pattern of electroless seed metal ions. This pattern can be contacted with a non-reducing reagent that reacts with the electroless seed metal ions to form an electroless seed metal compound that has a pKsp of less than 40, and which is electrolessly plated with a conductive metal.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventor: Mark Edward Irving