Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Patent number: 11422471
    Abstract: A method for printing a periodic pattern of linear features into a photosensitive layer which includes providing a mask bearing a pattern of linear features, arranging the substrate parallel to the mask, generating an elongated beam for illuminating the mask with a range of angles of incidence in a plane parallel to the linear features and with a uniform power per incremental distance along the length of the beam except at its ends where the power per incremental distance falls to zero according to first and second profiles over a fall-off distance, and scanning the beam in first and second sub-exposures to print first and second parts of the desired pattern such that the first and second parts overlap by the fall-off distance. The first and second profiles are selected so that their summation across the fall-off distance produces a uniform power per incremental distance.
    Type: Grant
    Filed: April 18, 2019
    Date of Patent: August 23, 2022
    Assignee: Eulitha AG
    Inventors: Francis Clube, Harun Solak
  • Patent number: 10925130
    Abstract: A lighting fixture includes a solid-state light source and control circuitry. The control circuitry is configured to receive one or more ambient light level measurements corresponding to the amount of ambient light detected by an ambient light sensor, and determine a range of values for the one or more ambient light level measurements corresponding to a desired amount of light detected by the ambient light sensor. The control circuitry is then configured to drive the solid-state light source such that the one or more ambient light level measurements received from the ambient light sensor fall within the determined range of values.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: February 16, 2021
    Assignee: IDEAL Industries Lighting LLC
    Inventor: Daniel J. Pope
  • Patent number: 10908466
    Abstract: A black matrix, a preparation method therefor, and a system thereof, a display substrate, and a display device are provided. The preparation method includes: forming a black matrix thin film on a substrate; on one side, away from the substrate, of the black matrix thin film, carrying out first exposure processing on the black matrix thin film; on one side, close to the substrate, of the black matrix thin film, carrying out second exposure processing on the black matrix thin film; and developing the black matrix thin film after the first exposure processing and the second exposure processing to form the black matrix.
    Type: Grant
    Filed: May 8, 2018
    Date of Patent: February 2, 2021
    Assignees: ORDOS YUANSHENG OPTOELECTRONICS CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Zong Niu, Fang Yang, Shikun Cui, Zhenyang Cao
  • Patent number: 10281396
    Abstract: Disclosed is a system for simultaneously measuring a surface normal vector and a surface reflection function in microscale. The system includes a light dome having a hemispherical-shaped structure and including LEDs to radiate light to an object placed therein; a macro lens installed camera arranged over the light dome to photograph the object through a hole formed at a center of the light dome under an environment in which a light is radiated from the light dome; an xyz micro-translation stage arranged under the light dome and configured to move in xyz-directions to adjust a focal distance between the macro lens installed camera and the object, and a measurement unit configured to control the light dome and the macro lens installed camera to obtain a microscale image, and configured to simultaneously measure a surface normal vector and a surface reflection function of the object based on the microscale image.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: May 7, 2019
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: MinHyuk Kim, Giljoo Nam, Joo Ho Lee
  • Patent number: 10187964
    Abstract: Calibrating apparatus and method for correcting aberrations in an extreme ultraviolet (EUV) light source are provided.
    Type: Grant
    Filed: May 1, 2018
    Date of Patent: January 22, 2019
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Qiang Wu, Liwan Yue
  • Patent number: 9853070
    Abstract: A method of manufacturing a display panel substrate having a semiconductor element includes a film forming step of forming a thin film, a resist film forming step of forming a positive resist film on the thin film, a first exposure step of selectively exposing a resist film via a photomask including a pattern of the semiconductor element, a second exposure step of selectively exposing the resist film by scanning and irradiating the resist film with light along an outline shape of the display panel substrate, a developing step of developing the resist film to remove the resist film exposed in the first and second exposure steps and form a resist pattern on the thin film, an etching step of etching the thin film using the resist pattern as a mask, and forming a thin-film pattern by selectively removing the thin film, and a peeling step of peeling the resist pattern.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: December 26, 2017
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shinya Kadowaki, Hidefumi Yoshida
  • Patent number: 9835870
    Abstract: Methods and systems for the super-resolution imaging can make visible strongly subwavelength feature sizes (even below 100 nm) in the optical images of biomedical or any nanoscale structures. The main application of the proposed methods and systems is related to label-free imaging where biological or other objects are not stained with fluorescent dye molecules or with fluorophores. This label-free microscopy is more challenging as compared to fluorescent microscopy because of the poor optical contrast of images of objects with subwavelength dimensions. However, these methods and systems are also applicable to fluorescent imaging. Their use is extremely simple, and it is based on application of the microspheres or microcylinders or, alternatively, elastomeric slabs with embedded microspheres or microcylinders to the objects which are deposited on the surfaces covered with thin metallic layers or metallic nanostructures.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: December 5, 2017
    Inventors: Vasily N. Astratov, Nicholaos I. Limberopoulos, Augustine M. Urbas
  • Patent number: 9707714
    Abstract: The fine pattern manufacturing apparatus, which can improve height direction resolution in a laser irradiation method. More specifically, the invention relates to a fine pattern manufacturing apparatus using interference and a fine pattern manufacturing method using the manufacturing apparatus, in which a height direction interference generation unit is included to interfere laser beams respectively having a different plane direction width, and height direction line width can be improved by irradiating an interference laser beam having an interference fringe direction of height direction on a photosensitive film.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: July 18, 2017
    Assignee: KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE
    Inventors: Hyug-Gyo Rhee, Yun Woo Lee
  • Patent number: 9392980
    Abstract: A two-dimensional look-up-table (LUT) storage unit stores a two-dimensional LUT in which each combination of two input values is associated with an output value for outputting a different color tone. A registration unit registers Positron Emission Tomography (PET) images of examination 1 and examination 2 by using X-ray CT images of the examination 1 and the examination 2; and an output-image creating unit acquires from the two-dimensional LUT an output value where input values are a combination of pixel values of corresponding pixels between the registered PET images, and creates an output image. Moreover, the output-image creating unit creates the output image from output values within a setting range specified on the two-dimensional LUT, among acquired output values. A display control unit controls display such that an output image or a composite image of an output image and an X-ray CT image created by a composite-image creating unit is to be displayed on a monitor.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: July 19, 2016
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Medical Systems Corporation
    Inventors: Masashi Nobe, Masatoshi Seki
  • Patent number: 9362428
    Abstract: The technique introduced herein decouples the traditional relationship between bandwidth and responsivity, thereby providing a more flexible and wider photodetector design space. In certain embodiments of the technique introduced here, a photodetector device includes a first mirror, a second mirror, and a light absorption region positioned between the first and second reflective mirrors. For example, the first mirror can be a partial mirror, and the second mirror can be a high-reflectivity mirror. The light absorption region is positioned to absorb incident light that is passed through the first mirror and reflected between the first and second mirrors. The first mirror can be configured to exhibit a reflectivity that causes an amount of light energy that escapes from the first mirror, after the light is reflected back by the second mirror, to be zero or near zero.
    Type: Grant
    Filed: June 6, 2014
    Date of Patent: June 7, 2016
    Assignee: ARTILUX, INC.
    Inventors: Shu-Lu Chen, Yun-Chung Na
  • Patent number: 9268235
    Abstract: An exposure method for exposing a mask pattern, which includes plural types of patterns, with a high throughput and optimal illumination conditions for each type of pattern. The method includes guiding light from a first spatial light modulator illuminated with pulse lights of illumination light to a second spatial light modulator and exposing a wafer with light from the second spatial light modulator, accompanied by: controlling a conversion state of the second spatial light modulator including a plurality of second mirror elements; and controlling a conversion state of the first spatial light modulator including a plurality of first mirror elements to control intensity distribution of the illumination light on a predetermined plane between the first spatial light modulator and the second spatial light modulator.
    Type: Grant
    Filed: June 30, 2014
    Date of Patent: February 23, 2016
    Assignee: Nikon Corporation
    Inventor: Soichi Owa
  • Patent number: 9034560
    Abstract: A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: May 19, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Koji Shirakawa, Tadateru Yatsuo
  • Patent number: 8982197
    Abstract: An optical filter for stereoscopic image display device and a stereoscopic image display device may be provided. In one embodiment, the optical filter for stereoscopic image display device may include a plastic substrate; an alignment layer; and a retardation layer, and the stereoscopic image display device may include the optical filter.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: March 17, 2015
    Assignee: LG Chem, Ltd.
    Inventors: Sin-Young Kim, Moon-Soo Park, Byoung-Kun Jeon, Sang-Choll Han, Bu Gon Shin, Hyuk Yoon
  • Patent number: 8945801
    Abstract: Data regarding a first corrected patterns on a single cell corrected such that an evaluation value of a pattern formed on a substrate after an image of a pattern of the single cell is projected onto a resist on the substrate and the resist is developed is obtained for each of a plurality of cells, a first evaluation value obtained by evaluating a projected image of the first corrected pattern on the single cell generated by the projection system is obtained for each of the cells, a second evaluation value obtained by, when the cells are arranged adjacent to one another, evaluating the projected images of the first corrected patterns on the cells is calculated, and creating a second corrected pattern by correcting the first corrected patterns on the cells arranged adjacent to one another such that the second evaluation value becomes close to the first evaluation value.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 3, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Nakayama, Tadashi Arai
  • Patent number: 8921031
    Abstract: Provided are photoresist overcoat compositions, substrates coated with the overcoat compositions and methods of forming electronic devices by a negative tone development process. The compositions, coated substrates and methods find particular applicability in the manufacture of semiconductor devices.
    Type: Grant
    Filed: September 9, 2012
    Date of Patent: December 30, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Rosemary Bell, Jong Keun Park, Seung-Hyun Lee
  • Patent number: 8916315
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of circular or nearly-circular shaped beam shots can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular shaped beam shots is also disclosed.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: December 23, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8900778
    Abstract: A method for forming patterns on a surface using charged particle beam lithography is disclosed, in which a stencil is provided comprising first and second apertures, where circular or nearly-circular patterns in a first plurality of sizes are formed on the surface using the first aperture by varying shot dosage, and where circular or nearly-circular patterns in a second plurality of sizes are formed on the surface using the second aperture by varying shot dosage. A similar method for fracturing or mask data preparation is also disclosed. A stencil for charged particle beam lithography is also disclosed, where the stencil comprises first aperture and second apertures capable of forming, in one shot, patterns in a first and a second range of sizes on a surface by varying the shot dosage, where the first range of sizes is discontinuous with the second range of sizes.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: December 2, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8895235
    Abstract: Disclosed is a process for producing a photoresist pattern, comprising the steps of: preparing a photomask that comprises a metal nano structure having a metal film arranged thereon and can generate a plasmon resonance, on a mask substrate; preparing a photoresist film that is formed on the surface of the resist substrate and is sensible to light having a wavelength (X); bringing the photomask into contact with the photoresist film; and exposing the photoresist film to light having a wavelength (Y) that is longer than the wavelength (X) and is shorter than the peak wavelength of a plasmon resonance band of the metal nano structure, thereby transferring a pattern of the metal film in the photomask onto the photoresist film.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: November 25, 2014
    Assignee: National University Corporation Hokkaido University
    Inventors: Kosei Ueno, Hiroaki Misawa
  • Patent number: 8883402
    Abstract: A photocurable composition comprising a photoresist component, and an ethylenically unsaturated perfluoropolyether is disclosed. The composition enables easier release of phototool from a photoresist.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: November 11, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Douglas C. Fall, Zai-Ming Qiu
  • Patent number: 8871427
    Abstract: There is disclosed a positive resist composition comprising (A) a resin having repeating units shown by the following general formulae (1) and (2) as repeating units that contain acid labile groups and being capable of increasing its alkaline solubility by an acid, (B) a photoacid generator, (C) a compound shown by the following general formula (3), and (D) a solvent. There can be a positive resist composition having high resolution, and at the same time giving an excellent pattern profile; and a patterning process in which an immersion lithography is carried out using a formed top coat.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: October 28, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryosuke Taniguchi, Akihiro Seki, Kenji Funatsu, Katsuhiro Kobayashi
  • Patent number: 8871433
    Abstract: The disclosed method for producing a microstructure can form a complicated three-dimensionally formed microstructure with few steps. A first mask pattern (22) containing a light transmitting section and a light blocking section is disposed along an unexposed photosensitive resin (42), and a second mask pattern (32) containing a light transmitting section and a light blocking section is disposed on the reverse side of the first mask pattern (22) from the photosensitive resin (42).
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: October 28, 2014
    Assignees: Kyoto University, Kagawa University
    Inventors: Takaaki Suzuki, Hidetoshi Kotera, Isaku Kanno, Daisuke Hiramaru
  • Patent number: 8865377
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: October 21, 2014
    Assignee: D2S, Inc.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Patent number: 8859195
    Abstract: A method of lithographically patterning a substrate that has photoresist having removal areas and non-removal areas includes first exposing at least the non-removal areas to radiation effective to increase outer surface roughness of the photoresist in the non-removal areas at least post-develop but ineffective to change photoresist solubility in a developer for the photoresist to be cleared from the non-removal areas upon develop with the developer. Second exposing of radiation to the removal areas is conducted to be effective to change photoresist solubility in the developer for the photoresist to be cleared from the removal areas upon develop with the developer. The photoresist is developed with the developer effective to clear photoresist from the removal areas and to leave photoresist in the non-removal areas that has outer surface roughness in the non-removal areas which is greater than that before the first exposing. Other implementations and embodiments are contemplated.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: October 14, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Yoshiki Hishiro, Scott Sills, Hiroyuki Mori, Troy Gugel, Paul D. Shirley, Lijing Gou, Adam Olson
  • Patent number: 8852830
    Abstract: A photomask for exposing a region on a substrate, with a mask pattern, including a first line pattern, a second line pattern, a first connection pattern for a peripheral portion of the region and a second connection pattern for the peripheral portion, wherein the first connection pattern is wider than the first line pattern and the second connection pattern is wider than the second line pattern, a distance from a virtual line between the first line pattern and the second line pattern to a center line of the first connection pattern is larger than a distance from the virtual line to a center line of the first line pattern and a distance from the virtual line to a center line of the second connection pattern is larger than a distance from the virtual line to a center line of the second line pattern.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: October 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Hirayama, Atsushi Kanome
  • Patent number: 8841060
    Abstract: An actinic-ray-sensitive or radiation-sensitive resin composition which is capable of improving line edge roughness (LER) and inhibiting pattern collapse, a resist film and a pattern forming method each using the same, a method for preparing an electronic device, and an electronic device are provided. The actinic-ray-sensitive or radiation-sensitive resin composition contains: (A) a resin having repeating units having a structure represented by any one of the following general formulae (I-1) to (I-3), and repeating units containing at least one selected from the group consisting of a lactone structure, a sultone structure, and a cyano group; and (B) a compound that generates an acid by irradiation with actinic rays or radiations.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 23, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Shohei Kataoka, Yusuke Iizuka, Akinori Shibuya, Tomoki Matsuda, Naohiro Tango
  • Patent number: 8841058
    Abstract: A photolithography material is provided. The photolithography material is a surface modifying material. The photolithography material includes a polymer (e.g., fluorine polymer) that includes less than approximately 80% hydroxyl groups. In an embodiment, the photolithography material includes less than approximately 80% fluoro-alcohol functional units. Methods of using the photolithography material include as an additive to a photoresist or topcoat layer. The photolithography material may be used in an immersion lithography process.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8828282
    Abstract: Disclosed are a photosensitive resin composition for a color filter including (A) a colorant including a dye represented by the following Chemical Formula 1 and/or a dye represented by the following Chemical Formula 2, wherein in the following Chemical Formulae 1 and 2, each substituent is the same as described in the detailed description; (B) an acrylic-based binder resin; (C) a photopolymerizable monomer; (D) a photopolymerization initiator; and (E) a solvent, and a color filter using the same.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: September 9, 2014
    Assignee: Cheil Industries Inc.
    Inventors: Kyung-Hee Hyung, Nam-Gwang Kim, Se-Young Choi, Yu-Jin Lee, Gyu-Seok Han
  • Patent number: 8822129
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kaoru Iwato, Hideaki Tsubaki, Shuji Hirano
  • Patent number: 8815498
    Abstract: The present invention provides a method of forming tight-pitched patterns. First, a target pattern is provided, wherein the target pattern comprises a plurality of first stripe patterns, and each of the first stripe patterns has a first width and a first length. Then, a photomask comprising a plurality of second stripe patterns corresponding to the first stripe patterns is provided, and each of the second stripe patterns has a second width and a second length. Then, a first exposure process with the photomask is provided in an exposure system, wherein the first exposure process uses a first light source that can resolve the second width of each of the second stripe patterns. Lastly, a second exposure process with the photo-mask is provided in the exposure system, wherein the second exposure process uses a second light source that cannot resolve the second width of each of the second stripe patterns.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: August 26, 2014
    Assignee: Nanya Technology Corp.
    Inventor: Chun-Wei Wu
  • Patent number: 8785888
    Abstract: A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: July 22, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshinori Honjo, Makoto Uesato
  • Patent number: 8758978
    Abstract: A radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation-sensitive acid generator. The first polymer includes a repeating unit (I) shown by the following general formula (1), a fluorine atom in a molecule of the first polymer. The second a polymer includes an acid-labile group, and is insoluble or scarcely soluble in an alkali. R1 represents a hydrogen atom or the like, each of X1 and R2 represents a single bond or the like, R3 represents a hydrogen atom or the like, and R4 represents an acid-labile group.
    Type: Grant
    Filed: March 12, 2012
    Date of Patent: June 24, 2014
    Assignee: JSR Corporation
    Inventors: Mitsuo Satou, Tomohiro Kakizawa
  • Patent number: 8748079
    Abstract: A system and method for forming encoded microparticles is described. One embodiment includes a method for forming a microparticle, the method comprising providing a pattern, wherein the pattern defines a code element, printing the pattern on a substrate to form a first code element within a microparticle region, printing the pattern on the substrate to form at least one successive code element, such that the first code element and the at least one successive code element are within the same microparticle region, wherein a code is formed by the first code element and any successive code elements.
    Type: Grant
    Filed: January 11, 2013
    Date of Patent: June 10, 2014
    Assignee: Affymetrix, Inc.
    Inventor: Randall True
  • Patent number: 8741537
    Abstract: A positive resist composition, which comprises a resin having a structure showing a basicity and capable of increasing the solubility in an alkali developer by the action of an acid, and a pattern-forming method using the same.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: June 3, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Hiromi Kanda
  • Patent number: 8728722
    Abstract: A method for producing a device in one or more layers of patternable material disposed over a substrate uses multiple exposure tools having different resolution limits and maximum expose field sizes. An abutting field pattern is exposed and stitched in one layer of patternable material using one exposure tool and a first mask. A periphery pattern is then exposed in the same layer or in a different layer of patternable material using a second exposure tool and a second mask. The maximum expose field of the first exposure tool is smaller than a size of the device while the maximum expose field of the second exposure tool is at least as large as, or larger, the size of the device so that the combination of the stitched abutting field pattern and the periphery pattern forms a complete pattern in the patternable material.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: May 20, 2014
    Assignee: Truesense Imaging, Inc.
    Inventors: Robert P. Fabinski, Eric J. Meisenzahl, James E. Doran, Joseph R. Summa
  • Patent number: 8715902
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: May 6, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventor: Deyan Wang
  • Patent number: 8715905
    Abstract: Provided is a silphenylene-containing photocurable composition including: (A) a specific silphenylene having both terminals modified with alicyclic epoxy groups, and (C) a photoacid generator that generates acid upon irradiation with light having a wavelength of 240 to 500 nm. Also provided is a pattern formation method including: (i) forming a film of the photocurable composition on a substrate, (ii) exposing the film through a photomask with light having a wavelength of 240 to 500 nm, and if necessary, performing heating following the exposure, and (iii) developing the film in a developing liquid, and if necessary, performing post-curing at a temperature within a range from 120 to 300° C. following the developing. Further provided is an optical semiconductor element obtained by performing pattern formation using the method.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: May 6, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shohei Tagami, Takato Sakurai, Hideto Kato
  • Patent number: 8710465
    Abstract: A method and system for exposing a target according to pattern data in a maskless lithography machine generating a plurality of exposure beamlets for exposing the target. The method comprises providing input pattern data in a vector format, rendering and quantizing the input pattern data to generate intermediate pattern data, and re-sampling and re-quantizing the intermediate pattern data to generate output pattern data. The output pattern data is supplied to the lithography machine, and the beamlets generated by the lithography machine are modulated on the basis of the output pattern data.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: April 29, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Teunis Van De Peut, Marco Jan-Jaco Weiland
  • Patent number: 8709340
    Abstract: Methods and systems for selection radiation exposure in sterilization of medical devices are disclosed.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: April 29, 2014
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Abigail Freeman, Gregory S. Simmons, Brian D. Riggs, Robert Harrison, Dan Castro, Bin Huang
  • Patent number: 8697334
    Abstract: An encoded microparticle having a spatial code is provided; and a set of encoded microparticles possessing subsets each provided with a distinguishable spatial code, wherein the codes comply with a pre-determined coding scheme. Presented are also methods of using the encoded microparticles in various biological assays, such as various multiplex assays and visualizing them by creating a digital image of the encoded microparticles and determining whether false positives are present. Further are provided methods of manufacture of the encoded microparticles which employ ferromagnetic nanoparticles applied using spin-on-glass techniques.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: April 15, 2014
    Assignee: Affymetrix, Inc.
    Inventors: Randall J. True, Martin J. Goldberg, Michael Ru, Michael P. Mittmann
  • Patent number: 8697346
    Abstract: Methods, devices, systems, and materials are disclosed for diffraction unlimited photofabrication. A method is provided where a photoresponsive material is illuminated with a first optical pattern at a first wavelength of light. The first wavelength of light alters a solubility of the photoresponsive organic material. The photoresponsive material is also illuminated with a second optical pattern at a second wavelength of light. The second wavelength of light hinders the ability of the first wavelength of light to alter the solubility of the photoresponsive organic material where the second optical pattern overlaps the first optical pattern. The photoresponsive organic material is then developed.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: April 15, 2014
    Assignee: The Regents of the University of Colorado
    Inventors: Robert R. McLeod, Christopher N. Bowman, Timothy F. Scott, Amy C. Sulivan
  • Patent number: 8697317
    Abstract: A method including loading a blank reticle; projecting an electron beam; moving a second aperture plate having a first and second pattern aperture so the first pattern aperture is overlapped by a first aperture of a first aperture plate, the electron beam passing through the first pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam that passes the first pattern aperture to form a first exposure pattern; moving the second aperture plate so the second pattern aperture is overlapped by the first aperture of the first aperture plate, the electron beam passing through the second pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam after passing the second pattern aperture, to form a second exposure pattern; and developing the blank reticle having the first and second exposure patterns to form the reticle having first and second patterns.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: April 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Jin-Ha Jeong, Urazaev Vladimir, Hea-Yun Lee
  • Patent number: 8685633
    Abstract: A method of printing an image on a wafer. The method includes the steps of printing a main image, wherein the main image includes fields which are fully on the wafer, and printing an alternate image, wherein the alternate image includes fields which are only partially on the wafer. The alternate image could be placed on a separate mask which is loaded onto the exposure tool after the mask with the main image has completed printing. Alternatively, it could be an extra image specially inserted on the mask with the main image for that layer.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: April 1, 2014
    Assignee: LSI Corporation
    Inventors: Duane B. Barber, David J. Sturtevant
  • Patent number: 8679731
    Abstract: A semiconductor device manufacturing method includes applying illumination light to a photomask, and projecting diffracted light components from the photomask via a projection optical system to form a photoresist pattern on a substrate. The photomask includes a plurality of opening patterns which are arranged on each of a plurality of parallel lines at regular second intervals in a second direction and which have regular first intervals in a first direction perpendicular to the second direction. The plurality of opening patterns arranged on the adjacent ones of the plurality of parallel lines are displaced from each other half the second interval in the second direction. Moreover, the dimensions of the plurality of opening patterns and the complex amplitude transmittance of nontransparent region in the photomask are set so that three of the diffracted light components passing through the pupil of the projection optical system have equal amplitude.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 25, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuya Fukuhara, Takaki Hashimoto, Kazuyuki Masukawa, Yasunobu Kai
  • Patent number: 8669023
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: March 11, 2014
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8652583
    Abstract: The cavity has first and second main walls covered by a photoresist. The photoresist is subjected to electronic or electromagnetic radiation of wavelength comprised between 12.5 nm and 15 nm. A first thickness of the photoresist is exposed to form a first area of sacrificial material and a second area of different nature defining the surface coating. The sacrificial material is removed, the surface coating is formed and has a surface against one of the main walls and a free opposite surface. The lateral dimensions of the surface coating are defined in the cavity by the radiation through the first main wall.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: February 18, 2014
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternative
    Inventors: Romain Wacquez, Christophe Constancias, Philippe Coronel
  • Patent number: 8637211
    Abstract: A method for manufacturing a semiconductor device is disclosed, wherein during the physical design process, a curvilinear path is designed to represent an interconnecting wire on the fabricated semiconductor device. A method for fracturing or mask data preparation (MDP) is also disclosed in which a manhattan path which is part of the physical design of an integrated circuit is modified to create a curvilinear pattern, and where a set of charged particle beam shots is generated, where the set of shots is capable of forming the curvilinear pattern on a resist-coated surface.
    Type: Grant
    Filed: October 9, 2011
    Date of Patent: January 28, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8617775
    Abstract: A method for printing a desired periodic or quasi-periodic pattern of dot features into a photosensitive layer disposed on a substrate including the steps of designing a mask pattern having a periodic or quasi-periodic array of unit cells each having a ring feature, forming a mask with said mask pattern, arranging the mask substantially parallel to the photosensitive layer, arranging the distance of the photosensitive layer from the mask and illuminating the mask according to one of the methods of achromatic Talbot lithography and displacement Talbot lithography, whereby the illumination transmitted by the mask exposes the photosensitive layer to an integrated intensity distribution that prints the desired pattern.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: December 31, 2013
    Assignee: Eulitha AG
    Inventor: Harun Solak
  • Patent number: 8609327
    Abstract: Methods are presented of forming sub-lithographic patterns using double exposure. One method may include providing a photoresist layer over a layer to be patterned; exposing the photoresist layer using a first mask having a first opening; developing the photoresist layer to transfer the first opening into the photoresist layer, forming a boundary in the photoresist layer about the transferred first opening that is hardened; exposing the photoresist layer using a second mask having a second opening that overlaps the boundary; and developing the photoresist layer to transfer the second opening into the photoresist layer, leaving the boundary, wherein the boundary has a sub-lithographic dimension.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Wai-Kin Li
  • Patent number: 8609306
    Abstract: A method for fracturing or mask data preparation for shaped beam charged particle beam lithography is disclosed, in which a square or nearly-square contact or via pattern is input, and a set of charged particle beam shots is determined which will form a circular or nearly-circular pattern on a surface, where the area of the circular or nearly-circular pattern is within a pre-determined tolerance of the area of the input square or nearly-square contact or via pattern. Methods for forming a pattern on a surface and for manufacturing a semiconductor device are also disclosed.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: December 17, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8580483
    Abstract: The present disclosure provides a method of making a nozzle chip including a step of forming an ejection orifice row by performing irradiation with light rays using a mask having ejection orifice row patterns that form an ejection orifice row pattern of one nozzle chip when the ejection orifice row patterns are connected to each other through a connection portion. The mask is configured such that, with respect to a direction in which ejection orifices of the ejection orifice row are arranged, an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the smallest distance from the connection portion is irradiated is less than an absolute value of off-axis telecentricity of one of the light rays with which an ejection orifice that is at the greatest distance from the connection portion is irradiated.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: November 12, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takanobu Manabe, Toshiaki Kurosu, Makoto Watanabe, Kenji Fujii, Yoshinori Tagawa, Kazuhiro Asai