Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Patent number: 8088555
    Abstract: A system and method for forming encoded microparticles is described. One embodiment includes a method of forming an encoded microparticle, the method comprising: depositing and patterning a plurality of layers on a substrate so as to form a plurality of microparticles, each microparticle comprising a plurality of separate segments aligned along an axis and representing a code; and releasing the microparticles in order to separate the microparticles from the substrate.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: January 3, 2012
    Assignee: Affymetrix, Inc.
    Inventor: Randall True
  • Patent number: 8080314
    Abstract: Methods and articles providing for precise aligning, positioning, shaping, and linking of nanotubes and carbon nanotubes. An article comprising: a solid surface comprising at least two different surface regions including: a first surface region which comprises an outer boundary and which is adapted for carbon nanotube adsorption, and a second surface region which is adapted for preventing carbon nanotube adsorption, the second region forming an interface with the outer boundary of the first region, at least one carbon nanotube which is at least partially selectively adsorbed at the interface. The shape and size of the patterns on the surface and the length of the carbon nanotube can be controlled to provide for selective interfacial adsorption.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: December 20, 2011
    Assignee: Northwestern University
    Inventors: Chad A. Mirkin, Yuhuang Wang, Daniel Maspoch
  • Patent number: 8071279
    Abstract: The present invention describes an aperture including: an opaque plate; two sliver openings located in the opaque plate, the two sliver openings having rectangular shapes, the two sliver openings being parallel to each other. The present invention further describes a method including: decomposing a pattern into horizontal sub-features and vertical sub-features; forming a first mask corresponding to the horizontal sub-features; forming a second mask corresponding to the vertical sub-features; forming a first aperture with two parallel horizontal sliver openings corresponding to the first mask; forming a second aperture with two parallel vertical sliver openings corresponding to the second mask; exposing a wafer using the first aperture and the first mask; exposing the wafer using the second aperture and the second mask; and exposing the wafer with the third mask.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: December 6, 2011
    Assignee: Intel Corporation
    Inventor: Peng Liu
  • Patent number: 8062836
    Abstract: The present invention relates to a method for manufacturing an optical filter for a stereoscopic image display device, which forms an alignment layer having different orientating directions along a fine region via a one-time continuous photo orientation process. The method comprising: forming a polymer layer on a substrate; a photo-orienting step comprising positioning a patterned mask above the polymer layer, the patterned mask having alternating light transmission regions and light shield regions arranged in both horizontal and a vertical directions to selectively transmit different polarized light, positioning a polarizer above the patterned mask where the polarizer has two distinguishable regions that transmit different polarized light, and downwardly irradiating UV light onto the polymer layer from above the polarizer, thereby forming an alignment layer having different orientating directions in fine regions of the polymer layer; and forming a retardation layer on the orientation layer.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: November 22, 2011
    Assignee: LG Chem, Ltd.
    Inventors: Sin-Young Kim, Moon-Soo Park, Byoung-Kun Jeon, Sang-Choll Han, Bu Gon Shin, Hyuk Yoon
  • Patent number: 8057972
    Abstract: The invention relates to a method for forming a pattern on a substrate surface of a target by means of a beam of electrically charged particles in a number of exposure steps, where the beam is split into a patterned beam and there is a relative motion between the substrate and the pattern definition means. This results in an effective overall motion of the patterned particle beam over the substrate surface and exposition of image elements on the substrate surface in each exposure step, wherein the image elements on the target are exposed to the beamlets multiply, namely several times during a number of exposure steps according to a specific sequence. The sequence of exposure steps of the image elements is arranged in a non-linear manner according to a specific rule from one exposure step to the subsequent exposure step in order to reduce the current variations in the optical column of the multi-beam exposure apparatus during the exposure of the pattern.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: November 15, 2011
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Adrian Bürli
  • Patent number: 8048614
    Abstract: A circuit pattern having a size finer than a half of a wavelength of an exposure beam is transferred on a semiconductor wafer plane with an excellent accuracy by means of a mask whereupon an integrated circuit pattern is formed and a reduction projection aligner. The accuracy of transferring the circuit pattern on the semiconductor wafer is improved by synergic effects of super-resolution exposure, wherein a mask cover made of a transparent medium is provided on a pattern side of the integrated circuit mask so as to suppress the aberration of reduction projection alignment, and a method of increasing the number of actual apertures of the optical reduction projection lens system provided with the wafer cover made of the transparent medium on a photoresist side of the semiconductor wafer to which planarizing process is performed.
    Type: Grant
    Filed: August 9, 2006
    Date of Patent: November 1, 2011
    Inventors: Yoshihiko Okamoto, Masami Ogita
  • Patent number: 8043797
    Abstract: A method for transferring an image of a mask pattern through a pitch range onto a substrate is presented. In an embodiment, the method includes illuminating the mask pattern of an attenuated phase shift mask using a multipole illumination that includes an on-axis component and an off-axis component, the mask pattern including non-printing assist features configured for a pitch larger than twice a minimum pitch of the mask pattern, and projecting an image of the illuminated mask pattern onto the substrate.
    Type: Grant
    Filed: April 27, 2005
    Date of Patent: October 25, 2011
    Assignee: ASML Netherlands B.V.
    Inventor: Steven George Hansen
  • Patent number: 8039205
    Abstract: A method includes depositing a sacrificial material on a substrate, and depositing a polymer layer on the substrate and the sacrificial material. The method further includes removing the sacrificial material to at least partially define boundaries of at least one fluidic channel of a fluidic micro electromechanical system (MEM) device, the at least one fluidic channel is at least partially defined by a portion of the polymer layer and a portion of the substrate.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: October 18, 2011
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Chien-Hua Chen, Xia feng Yang
  • Patent number: 8039176
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: November 14, 2009
    Date of Patent: October 18, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8034287
    Abstract: Methods and systems for selection radiation exposure in sterilization of medical devices are disclosed.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: October 11, 2011
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Abigail Freeman, Gregory S. Simmons, Brian D. Riggs, Robert Harrison, Dan Castro, Bin Huang
  • Patent number: 8030604
    Abstract: A near-field detection optical component operating in transmission. It includes at least one portion (11b) forming at least one grating (11) of diffraction microstructures (11a) succeeding one another over several periods (p), this grating (11) being capable of converting evanescent waves (16), which are established between the component and an object (12) located in the near field, when it reflects or emits radiation having a wavelength, into propagating waves (16?) by a diffraction effect during transmission through the portion (11b) forming the grating (11) of diffraction microstructures (11a). The period (p) of the grating (11) being of the order of magnitude of the wavelength of the radiation.
    Type: Grant
    Filed: June 8, 2007
    Date of Patent: October 4, 2011
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Salim Mimouni, Ludovic Poupinet
  • Patent number: 8026183
    Abstract: A lower-layer film to which a fluorine-doped polymer is added is formed on a film to be processed. The lower-layer film is baked. An intermediate film is formed on the lower-layer film. A resist film is formed on the intermediate film. The resist film is baked. A resist protection film is formed. The resist film is immersion-exposed. The resist film is developed to form a resist pattern.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: September 27, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Koutaro Sho
  • Patent number: 8017298
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film having a receding contact angle of 70 degrees or above with respect to water, wherein the resist composition for negative development contains a resin capable of increasing the polarity by the action of an acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) exposing the resist film via an immersion medium, and (c) performing development with a negative developer.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: September 13, 2011
    Assignee: Fujifilm Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8007980
    Abstract: A resist for printing that is coated on a printing roll and is then sequentially transcribed on a printing plate and a substrate including: a material wherein a cohesive energy between the resist and the printing plate is larger than a cohesive energy between the resist and a blanket formed on the surface of printing roll, and wherein a cohesive energy between the resist and the substrate is larger than the cohesive energy between the resist and the blanket formed on the surface of printing roll.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: August 30, 2011
    Assignee: LG Display Co., Ltd.
    Inventor: Jin Wuk Kim
  • Patent number: 8007987
    Abstract: A manufacturing method of asymmetric bumps is provided. First, a substrate is provided. A film layer is then formed on the substrate. Next, a complex photomask including at least one transparent region, a number of opaque regions, and a number of semi-transparent regions is provided. Each of the semi-transparent regions is disposed between two adjacent opaque regions, and at least one light-shielding pattern is randomly disposed in each of the semi-transparent regions. The film layer is then patterned with use of the complex photomask, and multiple asymmetric bumps are formed on the substrate. By using the complex photomask, manufacturing steps of the asymmetric bumps can be reduced. Besides, a manufacturing method of a pixel structure having the above-mentioned asymmetric bumps is also provided.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: August 30, 2011
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: Te-Yu Chen, Chin-Lung Yeh, Yu-Fang Wang
  • Patent number: 8003311
    Abstract: An integrated circuit system that includes: providing a substrate coated with a photoresist material; exposing the photoresist material to an energy source through a first mask to form a first substrate feature and a second substrate feature therein; and exposing the photoresist material to the energy source through a second mask to transform the second substrate feature into another one of the first substrate feature therein.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: August 23, 2011
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Sia Kim Tan, Soo Muay Goh, Qunying Lin, Martin Yeo
  • Patent number: 8003307
    Abstract: A method for fabricating an image sensor includes forming an insulation layer over a substrate in a logic circuit region and a pixel region, forming a photoresist over the insulation layer, patterning the photoresist to form a photoresist pattern where the insulation layer in the pixel region is exposed and the insulation layer in the logic circuit region is not exposed, wherein a thickness of the photoresist pattern is gradually decreased in an interfacial region between the pixel region and the logic circuit region in a direction of the logic circuit region to the pixel region, and performing an etch back process over the insulation layer and the photoresist pattern in conditions that an etch rate of the photoresist pattern are substantially the same as that of the insulation layer.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: August 23, 2011
    Assignee: Crosstek Capital, LLC
    Inventors: Hyun-Hee Nam, Jeong-Lyeol Park
  • Patent number: 7998655
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: August 16, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 7989151
    Abstract: A method to enhance resolution in optical lithography via absorbance-modulation involves exposing an opaque absorbance modulation layer (AML) to a first waveform having wavelength, 81, with the first exposure forming a first set of transparent regions in the opaque AML and forming a first pattern made of a set of exposed regions in a photoresist layer. Next, the AML is restored to its original opaque state. Next, the restored AML is re-exposed to the first waveform having wavelength, 81, with the exposure forming a second set of transparent regions in the opaque AML and forming a second pattern having a set of exposed regions in a photoresist layer. The first and second patterns in the photoresist layer form a final pattern with enhanced resolution and decreased spatial period than the first pattern.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: August 2, 2011
    Assignee: Massachusetts Institute of Technology
    Inventor: Rajesh Menon
  • Patent number: 7989138
    Abstract: A fluorine-containing compound represented by a general formula (c-1) shown below: [Chemical Formula 1] RX-AN-(OR2)a??(c-1) [wherein, RX represents an organic group, AN represents a naphthalene ring that may have a substituent, R2 represents a base dissociable group, and a represents 1 or 2, provided that at least one among AN and said a R2 groups contains a fluorine atom].
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: August 2, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Sanae Furuya, Takahiro Dazai, Takayoshi Mori, Ryoichi Takasu, Tomoyuki Hirano
  • Patent number: 7985514
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a shot determined for a shaped charged particle beam writer system comprises dragging the charged particle beam across a surface during the shot, so as to form a complex pattern in a single, extended shot. The dragging may be done with either variable shaped beam (VSB) or character projection (CP) shots. Methods for specifying in the shot data the path for the dragged shot are also disclosed. Other embodiments include using dragged shots with partial projection, varying the dragging velocity during a shot, and combining dragged shots with conventional shots. A method and system for creating glyphs which contain dragged shots is also disclosed.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: July 26, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable, Michael Tucker
  • Patent number: 7955780
    Abstract: Provided is a positive resist composition using a resin having, in the polymer main chain, a specific acid decomposable structure and further having, in the side chain thereof, several specific acid decomposable groups, satisfactory in an exposure latitude, a focus latitude, and pattern collapse prevention at a high level, and having reduced development defects; and a pattern forming method.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: June 7, 2011
    Assignee: Fujifilm Corporation
    Inventors: Takayuki Kato, Akinori Shibuya, Yusuke Iizuka
  • Patent number: 7947430
    Abstract: A method of forming 3D micro structures with high aspect ratios includes the steps of: disposing a mask, which has a plurality of through holes having at least two different sizes, on a substrate to expose the substrate through the through holes; forming a negative photoresist layer on the mask and the substrate; providing a light source to illuminate the negative photoresist layer through the substrate and the through holes of the mask so as to form a plurality of exposed portions and an unexposed portion; and removing the unexposed portion and leaving the exposed portions to form a plurality of pillars each having a bottom portion contacting the substrate and a top portion opposite to the bottom portion. A top area of the top portion is slightly smaller than a bottom area of the bottom portion, and the pillars are allowed to have at least two different heights.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: May 24, 2011
    Assignee: National Tsing Hua University
    Inventors: Chien-Chung Fu, Heng-Chi Huang, Wen-Cheng Yang
  • Patent number: 7939242
    Abstract: A barrier film material includes, in addition to an alkali-soluble polymer, a multivalent carboxylic acid compound having a plurality of carboxyl groups or a multivalent alcohol compound. Thus, the multivalent carboxylic acid compound or the multivalent alcohol compound is adhered onto the surface of a resist film, and hence, particles having been adhered to the surface of the resist film are removed in removing the barrier film. Also, in the case where the barrier film is removed simultaneously with development, the resist film can be prevented from remaining partly undissolved.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: May 10, 2011
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 7932020
    Abstract: Improvements in the fabrication of integrated circuits are driven by the decrease of the size of the features printed on the wafers. Current lithography techniques limits have been extended through the use of phase-shifting masks, off-axis illumination, and proximity effect correction. More recently, liquid immersion lithography has been proposed as a way to extend even further the limits of optical lithography. This invention described a methodology based on contact or proximity printing using a projection lens to define the image of the mask onto the wafer. As the imaging is performed in a solid material, larger refractive indices can be obtained and the resolution of the imaging system can be increased.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: April 26, 2011
    Assignee: Takumi Technology Corporation
    Inventors: Christophe Pierrat, Alfred K. Wong
  • Patent number: 7927783
    Abstract: A method includes exposing a first photoresist layer through a refractive mask to form a first pattern of above-threshold exposure spots in the first layer and exposing a second photoresist layer through the same mask to form a second pattern of above-threshold exposure spots in the second layer. Coordination numbers of exposure spots are larger in the first pattern than in the second pattern, nearest-neighbor pairs of the exposure spots have larger spacings in the first pattern than in the second pattern or largest ones of the exposure spots have larger diameters in the first pattern than in the second pattern.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: April 19, 2011
    Assignee: Alcatel-Lucent USA Inc.
    Inventors: Joanna Aizenberg, Shu Yang
  • Patent number: 7926000
    Abstract: An integrated circuit system that includes: providing a first mask including a first feature; exposing the first mask to a radiation source to form an image of the first feature on a photoresist material that is larger than a structure to be formed, the photoresist material being formed over a substrate that includes the integrated circuit system; providing a second mask including a second feature; aligning the second mask over the image of the first mask to form an overlap region; and exposing the second mask to the radiation source to form an image of the second feature on the photoresist material that is larger than the structure to be formed.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: April 12, 2011
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Sia Kim Tan, Qunying Lin
  • Patent number: 7890203
    Abstract: A wiring forming system comprises: maskless exposure unit which directly exposes an unexposed board by using exposure data generated based on design data relating to an wiring board; post-development inspect unit which tests the board after development, by using the exposure data and the image data of the board exposed and developed by the maskless exposure unit; etching unit which etches the developed board; and post-etching inspect unit which tests an etching pattern formed on the etched board, by using etching inspect data generated based on the design data and the image data of the board etched by the etching unit.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: February 15, 2011
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Masatoshi Akagawa, Kazunari Sekigawa, Shinichi Wakabayashi
  • Patent number: 7887996
    Abstract: Pattern transfer is achieved by forming a first patterned hard mask layer with a circuit pattern and a plurality of dummy patterns on a substrate, forming a second pattern mask layer on the substrate, exposing the circuit pattern of the first pattern mask layer, and removing a portion of the substrate exposed by the first patterned mask layer, so as to transfer the circuit pattern to the substrate.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: February 15, 2011
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Jen Liu, Cheng-Ku Chiang
  • Patent number: 7883835
    Abstract: A method of double patterning a thin film is described. The method comprises forming a thin film to be patterned on a substrate, forming an anti-reflective coating (ARC) layer on the thin film, and forming a mask layer on the ARC layer. Thereafter, the mask layer is patterned to form a first pattern and a second pattern therein, and the first and second patterns are partially transferred to the ARC layer using a transfer process, such as an etching process or a developing process. Once the mask layer is removed, the first pattern and second patterns are completely transferred to the ARC layer using an etching process, and the first and second patterns in the ARC layer are transferred to the underlying thin film using another etching process.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Sandra L. Hyland, Shannon W. Dunn
  • Patent number: 7879538
    Abstract: Systems and methods for generating an image are provided. These systems and methods include generating multiple light beams from a light source by controlling at least one parameter of the light source to be different among each of the multiple light beams. The systems and methods further include forming multiple light patterns of circuit structures that are separated in frequency by directing each of the light beams at a mask of circuit features. The systems and methods, when used in lithography for example, further include directing each of the light patterns toward a silicon substrate. The silicon substrate includes a silicon wafer having a surface at least partially covered with at least one of a photoresist material and a reversible contrast enhancement material (R-CEM).
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: February 1, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventor: Yao-Ting Wang
  • Patent number: 7867688
    Abstract: A method of making micro-structure devices by coating a first layer of resist (12) on a substrate (10). A pattern is created on the substrate by radiation induced thermal removal of the resist.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: January 11, 2011
    Assignee: Eastman Kodak Company
    Inventors: Scott E. Phillips, Timothy J. Tredwell, Lee W. Tutt, Glenn T. Pearce, Kelvin Nguyen, Ronald M. Wexler
  • Patent number: 7867693
    Abstract: Methods for forming device structures on a wafer are provided. One method includes transferring approximately an inverse of patterned features formed in a positive resist layer on the wafer to a device material on the wafer to form the device structures in the device material. Another method includes transferring approximately an inverse of patterned features formed in a sacrificial layer on the wafer to a device material on the wafer to form the device structures in the device material.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: January 11, 2011
    Assignee: KLA-Tencor Technologies Corp.
    Inventor: Walter D. Mieher
  • Patent number: 7858293
    Abstract: A method for double patterning a thin film on a substrate is described. The method includes forming the thin film to be patterned on the substrate, forming a developable anti-reflective coating (ARC) layer on the thin film, and forming a layer of photo-resist on the ARC layer. Thereafter, the layer of photo-resist and the ARC layer are double imaged, and developed. Once the layer of photo-resist is optionally removed, a double patterned ARC layer remains for etching the underlying thin film.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: December 28, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Shannon W. Dunn
  • Patent number: 7855048
    Abstract: A method of fabricating a semiconductor device using lithography. The method can include providing a wafer assembly having a layer to be processed disposed under a photo resist layer and illuminating the wafer assembly with an exposure dose transmitted through a birefringent material disposed between a final optical element of an imaging subsystem used to transmit the exposure dose and the photo resist layer. Also disclosed is a wafer assembly from which at least one semiconductor device can be fabricated. The wafer assembly can include a layer to be processed, a photo resist layer disposed over the layer to be processed and a contrast enhancing, birefringent top anti-reflecting coating (TARC).
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: December 21, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Cyrus E. Tabery, Bruno M. LaFontaine, Adam R. Pawloski, Jongwook Kye
  • Patent number: 7846649
    Abstract: The invention provides a method and printer for printing an image that comprises at least one group of highly dense shapes, the method including: (i) determining multiple intermediate schemes such as to allow printing corresponding intermediate images on an object; wherein at least one intermediate scheme comprises directing at least one interference pattern toward at least one location corresponding to at least one group of highly dense shapes; (ii) generating an array of light entities in response to an intermediate scheme; (iii) directing the array of light entities towards the object to form the intermediate image; and (iv) moving the object relative to the light entities while repeating the steps of generating and directing to expose the object with the image.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: December 7, 2010
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Ehud Tirosh, Gilad Almogy, Meir Aloni, Doron Meshulach
  • Patent number: 7824843
    Abstract: On a film as an object of processing, a first positive photo-resist having a dense hole pattern is formed. On the first positive photo-resist, a second positive photo-resist is formed to fill each of the plurality of holes of the pattern. To the second photo-resist, an image of dark points as a bright-dark inverted image of a high-transmittance half-tone phase shift mask is projected and exposed. By the development of second photo-resist, a pattern of dots of the second photo-resist formed at portions of the dark point image are left in any of the plurality of holes of the pattern. The film as the object of processing is patterned, using the first and second photo-resists as a mask.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: November 2, 2010
    Assignee: Renesas Electronics Corporation
    Inventor: Shuji Nakao
  • Patent number: 7811741
    Abstract: An image-forming medium and methods for forming and imaging the medium are provided. The disclosed medium can be strongly colored under room illumination (or deliberate UV) and can be selectively discolored at an appropriate light wavelength to form an image. In one embodiment, the image-forming medium can include a substrate (e.g., a sheet of paper), a photochromic material incorporated with the substrate, and a photo-absorbing material incorporated with the photochromic material. Exemplary methods for using the image-forming medium to make a transient image can include first forming the image-forming medium by applying a coating solution containing photochromic material to the substrate or paper. The image-forming medium can have a medium color and can then be selectively exposed to a radiation through a mask to convert the photochromic material from a colored form to a colorless form and thus to form an image having a color contrast with its background.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: October 12, 2010
    Assignee: Xerox Corporation
    Inventors: Peter M. Kazmaier, Eric Shrader, Kentaro Morimitsu, Tyler Norsten, Gabriel Iftime, Fazilia Seker, Naveen Chopra
  • Patent number: 7811747
    Abstract: A method of patterning a thin film is described. The method comprises forming a thin film to be patterned on a substrate, forming a developable anti-reflective coating (ARC) layer on the thin film, and forming a mask layer on the developable ARC layer. Thereafter, the mask layer is patterned to form a pattern therein, and the pattern is partially transferred to the developable ARC layer using an imaging and developing process. Once the mask layer is removed, the pattern is completely transferred to the developable ARC layer using an etching process, and the pattern in the developable ARC layer is transferred to the underlying thin film using another etching process.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: October 12, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Sandra L. Hyland, Shannon W. Dunn
  • Patent number: 7799517
    Abstract: A method of forming a feature on a device is disclosed. A photo resist layer is formed over the device and a dipole illuminator having a pole orientation axis in a first direction is used to expose a first geometrical pattern onto the resist layer. The first geometrical pattern is substantially oriented in the same direction as the pole orientation axis of the dipole illuminator. A dipole illuminator having a pole orientation axis substantially orthogonal to the first direction then is used to expose a second geometrical pattern onto the resist layer. The second geometrical pattern is oriented substantially orthogonal to the first direction.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: September 21, 2010
    Assignee: GlobalFoundries Inc.
    Inventor: Luigi Capodieci
  • Patent number: 7794793
    Abstract: A method for preparation of carbon nanotubes (CNTs) bundles for use in field emission devices (FEDs) includes forming a plurality of carbon nanotubes on a substrate, contacting the carbon nanotubes with a polymer composition comprising a polymer and a solvent, and removing at least a portion of the solvent so as to form a solid composition from the carbon nanotubes and the polymer to form a carbon nanotube bundle having a base with a periphery, and an elevated central region where, along the periphery of the base, the carbon nanotubes slope toward the central region.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: September 14, 2010
    Assignee: Brother International Corporation
    Inventor: Kangning Liang
  • Patent number: 7794920
    Abstract: A pattern decomposition method capable of achieving patterns with a complicated layout by double exposure. The pattern decomposition method for decomposing a target pattern which includes first patterns having repeated lines and spaces and second patterns disposed between the first patterns and having a predetermined size into patterns for first exposure and patterns for second exposure, comprises decomposing the first patterns into a pattern for first exposure and a pattern for second exposure, decomposing the second patterns into a pattern for first exposure and a pattern for second exposure, and respectively merging the pattern for first exposure or the pattern for second exposure of the first patterns with the pattern for first exposure or the pattern for second exposure of the second patterns.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: September 14, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Seung Choi
  • Patent number: 7790335
    Abstract: A double exposure process is performed using a halftone phase shift mask (11) including gate patterns (1), assist patterns (2a) and (2b) with different resoluble line widths, and an assist pattern (2c) with a line width equal to or smaller than a resolution limit which are respectively inserted into portions in each of which a distance between the gate patterns (1) is large, and a Levenson phase shift mask (11) including shifter patterns (3) corresponding to the gate patterns (1) of the photomask 11. On this occasion, the assist patterns (2a), (2b), and (2c) are erased and only the gate patterns (1) are transferred. Consequently, when patterns are transferred by the double exposure process, a common depth of focus of the patterns is improved and highly uniform line widths are realized, which makes it possible to manufacture a highly reliable semiconductor device.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: September 7, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takayoshi Minami
  • Patent number: 7781127
    Abstract: A main object of the present invention is to provide a manufacturing method of a pattern formed body which makes it possible to form property varied patterns having a desired line width, even when plural pattern formed bodies are manufactured. To achieve the object, the invention provides a manufacturing method of pattern formed bodies, comprising: a pattern-forming step of radiating vacuum-ultraviolet light onto a patterning substrate, a surface property of which is varied by the vacuum-ultraviolet light, through a photomask having at least a transparent substrate and a light shielding part to form a pattern formed body having a property varied pattern, in which the surface property of the patterning substrate is varied; and a step of repeating the pattern-forming step to manufacture a plurality of the pattern formed bodies, wherein an interval between the transparent substrate and the patterning substrate upon the pattern-forming step is set into the range of 0.1 ?m to 200 ?m.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: August 24, 2010
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Takashi Sawada, Masafumi Kamada, Kaori Yamashita, Hironori Kobayashi
  • Patent number: 7781157
    Abstract: The present invention involves a method for generating a photoresist image on a substrate. The method comprises coating a substrate with a film comprising a polymer comprising fluorocarbinol monomers; imagewise exposing the film to radiation; heating the film to a temperature of, at, or below about 90° C. and developing the image. The present invention also relates to a method for generating a photoresist image on a substrate where a polymer comprising fluorocarbinol monomers is used as a protective top coat.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Daniel Paul Sanders, Hoa D. Truong
  • Patent number: 7749688
    Abstract: A process of manufacturing a liquid crystal display device of transverse electric-field type, wherein a halftone photomask which is used to form a photoresist pattern has a fully light-shielding area preventing UV irradiation of a portion of an active matrix substrate in which a thin-film transistor element is to be formed, so that the photoresist pattern includes a positive resist portion which has a first thickness and which is formed on the above-indicated portion of the substrate. The halftone mask further has a fully light-transmitting area which permits fully UV transmission therethrough to provide the photoresist pattern with a resist-free area which corresponds to a portion of the substrate in which a contact hole serving as a third connection portion connecting an external scanning-line driver circuit and a scanning-line terminal portion through a junction electrode is to be formed.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: July 6, 2010
    Assignee: Obayashiseikou Co., Ltd.
    Inventor: Naoto Hirota
  • Patent number: 7745092
    Abstract: A method of forming a plurality of encoded microparticles with a lithography process to define codes for identifying the particles is disclosed herein.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: June 29, 2010
    Assignee: Affymetrix, Inc.
    Inventor: Randall True
  • Patent number: 7745095
    Abstract: A substrate processing method of a substrate provided with an anti-reflective coating which extends to or beyond a peripheral edge of the substrate is disclosed. The method includes removing a portion of the anti-reflective coating adjacent to and around a periphery of the substrate using a back-side removal process, depositing a layer of radiation sensitive material onto the anti-reflective coating, depositing a top-coat layer onto the layer of radiation sensitive material, and simultaneously removing a portion of the layer of radiation sensitive material and a portion of the top-coat layer from around an area adjacent to the periphery of the substrate using a top-side removal process.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: June 29, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Patrick Wong, Wendy Fransisca Johanna Gehoel Van Ansem, Rudolf Adrianus Joannes Maas, Suping Wang
  • Patent number: 7736825
    Abstract: A device manufacturing system and method are used to perform multiple exposures utilizing a resettable or reversible contrast enhancing layer. A radiation sensitive layer is formed on a substrate. A resettable or reversible contrast enhancing layer is formed on the radiation sensitive layer. The resettable or reversible contrast enhancing layer is bleached with a first pattern. The first pattern formed in the resettable or reversible contrast enhancing layer is transferred to the radiation sensitive layer. The resettable or reversible contrast enhancing layer is reset to unbleach the resettable or reversible contrast enhancing layer. The resettable or reversible contrast enhancing layer is bleached with a second pattern. The second pattern formed in the resettable or reversible contrast enhancing layer is transferred to the radiation sensitive layer.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: June 15, 2010
    Assignee: ASML Holding N.V.
    Inventor: Harry Sewell
  • Patent number: 7732110
    Abstract: A method for exposing a resist layer on a substrate to an image of a pattern on a mask is disclosed whereby, after starting exposure and before completing exposure, a controlled amount of contrast loss is introduced by a controller in the image at the resist layer by changing during exposure the position of the substrate holder. The contrast loss affects the pitch dependency of the resolution of a lithographic projection apparatus, and its control is used to match pitch dependency of resolution between different lithographic projection apparatus.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: June 8, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk