Effecting Frontal Radiation Modification During Exposure, E.g., Screening, Masking, Stenciling, Etc. Patents (Class 430/396)
  • Patent number: 7713684
    Abstract: A lithography system is disclosed that provides an array of areas of imaging electromagnetic energy that are directed toward a recording medium. The reversible contrast-enhancement material is disposed between the recording medium and the array of areas of imaging electromagnetic energy.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: May 11, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: Rajesh Menon, Henry I. Smith
  • Patent number: 7714988
    Abstract: A lithography system is disclosed that provides an array of areas of imaging electromagnetic energy that are directed toward a recording medium. The reversible contrast-enhancement material is disposed between the recording medium and the array of areas of imaging electromagnetic energy.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: May 11, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: Rajesh Menon, Henry I. Smith
  • Patent number: 7704684
    Abstract: The present invention provides methods and devices for fabricating 3D structures and patterns of 3D structures on substrate surfaces, including symmetrical and asymmetrical patterns of 3D structures. Methods of the present invention provide a means of fabricating 3D structures having accurately selected physical dimensions, including lateral and vertical dimensions ranging from 10s of nanometers to 1000s of nanometers. In one aspect, methods are provided using a mask element comprising a conformable, elastomeric phase mask capable of establishing conformal contact with a radiation sensitive material undergoing photoprocessing. In another aspect, the temporal and/or spatial coherence of electromagnetic radiation using for photoprocessing is selected to fabricate complex structures having nanoscale features that do not extend entirely through the thickness of the structure fabricated.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: April 27, 2010
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John A. Rogers, Seokwoo Jeon, Jangung Park
  • Patent number: 7678527
    Abstract: Embodiments of the invention provide methods and compositions for providing photoresists with improved liquid-contact properties. For one embodiment of the invention, a photoresist is provided having one or more constituent components that are resistant to diffusion between the photoresist and an index-matching liquid (IML). For such an embodiment in which the IML is water, a photoresist component is provided that is hydrophobic thus reducing diffusion between the photoresist and the water. In various alternative embodiments of the invention, a photoresist is provided having one or more constituent components that encourage diffusion between the photoresist layer and the IML in such manner as to impart beneficial liquid-contact properties to the photoresist layer. For such an embodiment in which the IML is water, a photoresist is provided having one or more hydrophilic constituents.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: March 16, 2010
    Assignee: Intel Corporation
    Inventors: Robert P Meagley, Ernisse S Putna, Wang Yueh
  • Patent number: 7670731
    Abstract: A method for improving the uniformity of a lithographic process. In one aspect, the probability density function of a first and second lithographic apparatus are matched by providing a continuous z-motion to a stage in the first lithographic apparatus during substrate exposure. Preferably, the z-motion is characterized by a normally distributed function, wherein the effective probability density function of the first apparatus is substantially similar to the probability density function of the second apparatus.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: March 2, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Johannes Anna Quaedackers, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk, Alexander Serebryakov
  • Patent number: 7667819
    Abstract: A lithography system is disclosed that includes an array of focusing elements for directing focused illumination toward a recording medium, and a reversible contrast-enhancement material disposed between the recording medium and the array of focusing elements.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: February 23, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: Rajesh Menon, Henry I. Smith
  • Patent number: 7666580
    Abstract: A lithography system is disclosed that includes an array of focusing elements for directing focused illumination toward a recording medium, and a reversible contrast-enhancement material disposed between the recording medium and the array of focusing elements.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: February 23, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: Rajesh Menon, Henry I. Smith
  • Patent number: 7655368
    Abstract: A method for exposing a resist layer on a substrate to an image of a pattern on a mask is disclosed whereby, after starting exposure and before completing exposure, a controlled amount of contrast loss is introduced by a controller in the image at the resist layer by changing during exposure the position of the substrate holder. The contrast loss affects the pitch dependency of the resolution of a lithographic projection apparatus, and its control is used to match pitch dependency of resolution between different lithographic projection apparatus.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: February 2, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk
  • Patent number: 7642037
    Abstract: A method of generating an imaging pattern using a mask having a mathematical (e.g., Fourier-space) representation of an imaging pattern in an imaging plane. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present application. Other methods and apparatuses are also disclosed.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: January 5, 2010
    Assignee: Searete, LLC
    Inventors: Bran Ferren, Nathan P. Myhrvold, Lowell L. Wood, Jr.
  • Patent number: 7618751
    Abstract: The present invention relates to Optical Maskless Lithography (OML). In particular, it relates to providing OML with a recognizable relationship to mask and phase-shift mask techniques.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: November 17, 2009
    Assignee: Micronic Laser Systems AB
    Inventors: Torbjorn Sandstrom, Hans Martinsson
  • Patent number: 7611826
    Abstract: The present invention relates to a new photosensitive resin composition capable of solubility control and a pattern formation method of a double-layer structure using the same, and more particularly to a photosensitive resin composition that can control the -value using a new photopolymerization initiator and lower layer hardener and that can control a film thickness according to the exposure energy without pattern breakup, even with low exposure energy. This photosensitive resin composition is useful for color filters and overcoating materials of LCD (liquid crystal display) manufacturing processes.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-Yoon Yang, Gil-Lae Kim, Chan-Seok Park, Choon-Ho Park, Soo-Guy Rho
  • Patent number: 7612864
    Abstract: An exposure apparatus includes an optical system generating light, a mask, a light-absorbing member and a substrate plate. The mask includes a mask body disposed at a path of the light, a first light transmission pattern formed in a first region of the mask body, and a second light transmission pattern formed in a second region of the mask body. The mask is transported in a first direction. The light-absorbing member is disposed between the optical system and the mask, and has a diffusive reflection preventing part formed at a side surface of the light-absorbing member. The substrate plate supports a substrate having a photoresist layer formed thereon. The substrate plate is transported along the first direction together with the mask, thereby preventing a diffusive reflection.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: November 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Young-Mi Tak
  • Patent number: 7605908
    Abstract: A near-field exposure mask includes a light blocking film having an opening smaller than a wavelength of exposure light, and a mask base material for holding the light blocking film. The near-field exposure mask is configured and positioned to effect exposure of an object to be exposed to near-field light generated corresponding to the opening during contact thereof with the object to be exposed. The mask base material is transparent to the exposure light and comprises a synthetic resin material having Young's modulus in a range of 1 GPa or more to 10 GPa or less.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 20, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Natsuhiko Mizutani
  • Patent number: 7601485
    Abstract: An exposure method for exposing a resist film disposed on one surface of a wafer includes a first exposure step of locating an exposure mask at a first predetermined position with respect to the wafer, and exposing the resist film. The exposure method further includes a second exposure step of displacing the exposure mask relative to the wafer by a predetermined dimension in a predetermined direction to locate the exposure mask at a second predetermined position, and exposing the resist film.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: October 13, 2009
    Assignee: Disco Corporation
    Inventors: Kazuma Sekiya, Takashi Ono, Akihito Kawai
  • Patent number: 7598020
    Abstract: A production method of a semiconductor device which includes the steps of exposing a resist coated on a substrate of a semiconductor device by projecting a light pattern on the substrate of the semiconductor device through an object lens, developing the resist exposed by the light pattern to form a wafer pattern with the resist, and etching the substrate on which the wafer pattern with the resist is formed. In the step of exposing, the light pattern projected on the substrate is formed by excimer laser light which is emitted from an annular shaped light source and which is passed through a mask having a phase shifter.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: October 6, 2009
    Assignee: Renesas Technology Corporation
    Inventors: Minori Noguchi, Yukio Kenbo, Yoshitada Oshida, Masataka Shiba, Yasuhiro Yoshitaka, Makoto Murayama
  • Patent number: 7585601
    Abstract: A method of making a process monitor grating pattern for use in a lithographic imaging system comprises determining minimum resolvable pitch of a plurality of spaced, adjacent line elements, and selecting a process monitor grating period that is an integer multiple M, greater than 1, of the minimum resolvable pitch. The method then includes designing a process monitor grating pattern having a plurality of adjacent sets of grouped line elements spaced from each other. Each set of grouped line elements is spaced from and parallel to an adjacent set of grouped line elements by the process monitor grating period, such that when the process monitor grating pattern is projected by the lithographic imaging system the line elements in each set are unresolvable from each other and Fourier coefficients of diffracted orders m created by the line elements in the range of 1<|m|?M are zero.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Christopher P. Ausschnitt
  • Patent number: 7579136
    Abstract: Provided is a method of manufacturing a microfluidic device in which coating film patterns made of a coupling agent are formed in microchannels. The method includes: forming the coating film patterns made of the coupling agent on a Si substrate; selectively oxidizing coupling agent-free regions of the Si substrate having thereon the coating film patterns made of the coupling agent using an oxidizing agent with an oxidation potential from 1 to 2 V; and adhering a PDMS (polydimethylsiloxane) microchannel structure to the selectively oxidized Si substrate to form the microchannels.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: August 25, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeo-young Shim, Soo-suk Lee, Sung-ouk Jung, Ji-na Namgoong, Kyu-tae Yoo
  • Patent number: 7568492
    Abstract: A realistic appearing camouflage hunting blind that incorporates a photographic image of a hunting location. The visual appearance of the hunting blind mimics the actual environment so that from a distance, the hunting blind blends into the environment and is virtually undetectable. A photograph of an actual hunting location, like a Wisconsin forest, is taken and uploaded to a computer. The photograph is edited and printed onto substrate materials. The substrate materials are assembled to form a completed hunting blind.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: August 4, 2009
    Inventor: Marc A. Helmer
  • Patent number: 7553609
    Abstract: There are provided a piezoelectric vibrating piece, which has been more miniaturized and whose efficiency has been more increased, by easily and certainly electrode-dividing an exciting electrode, and a manufacturing method of the same. There is provided a manufacturing method of a piezoelectric vibrating piece, characterized by having an exposure process in which a mask having an opening part is disposed such that the opening part is located on at least either edge of a 1st edge, in two places, formed by a main face and a side face of a vibrating arm part or a 2nd edge, in two places, formed by the main face and a side face of a groove part, and a parallel light is slantingly irradiated through the opening part toward at least either of a bottom face or an outside of the groove part while following a face intersecting perpendicularly to a longitudinal direction of the vibrating arm part to thereby expose a photosensitive film.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: June 30, 2009
    Assignee: Seiko Instruments Inc.
    Inventor: Kiyoshi Aratake
  • Patent number: 7541117
    Abstract: Disclosed herein is a mask pattern generating method for generating a mask pattern to be formed in a Levenson phase shift mask used in a light exposure process for exposing a photoresist film formed on a fabricated film to be patterned into a conductive layer to light when the conductive layer is patterned by photolithography, the conductive layer including a gate electrode formed in an active region extending in a first direction in a wafer in such a manner as to extend in a second direction orthogonal to the first direction, the mask pattern generating method including: a phase shifter arranging step; a shifter pattern image obtaining step; a trim pattern image obtaining step; and a phase shifter elongating step.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: June 2, 2009
    Assignee: Sony Corporation
    Inventors: Kazuhisa Ogawa, Satomi Nakamura, Kohichi Nakayama
  • Patent number: 7537869
    Abstract: A method for evaluating a pattern formation process includes applying a photoresist on a substrate, transferring a first pattern and a second pattern adjacent to or at least partly overlapped with each other to the photoresist, wherein the first pattern includes a plurality of lines consisting of transparent regions, having the same length and a line-width less than or on the order of wavelengths of visible light, periodically located parallel to one another with end portions aligned on both sides thereof, and the second pattern comprises a transparent region having a larger area compared with each of the lines, and determining, in a first pattern formed on the substrate with the first pattern transferred to the photoresist, by an optical means, an amount of shorting of the lines in a direction parallel to the line.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: May 26, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masafumi Asano, Kazuya Fukuhara
  • Patent number: 7527920
    Abstract: In an implementation, energy reaching the lower surface of a photoresist may be redirected back into the photoresist material. This may be done by, for example, reflecting and/or fluorescing the energy from a hardmask provided on the wafer surface back into the photoresist.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: May 5, 2009
    Assignee: Intel Corporation
    Inventors: Michael Goldstein, Manish Chandhok, Eric Panning, Robert Bristol, Bryan J. Rice
  • Patent number: 7527917
    Abstract: For each of pattern projection regions, a mask and a substrate are moved in synchronization with each other in an X direction while projecting a portion of a mask pattern through an optical projection system onto a portion of the pattern projection region. An exposure region is thereby shifted from one of two ends of the pattern projection region toward the other end, the two ends being opposed to each other in the X direction. If the pattern projection region is a peripheral projection region that has a portion located outside the edge of the substrate, of the two ends of the region opposed to each other in the X direction, the exposure region is shifted from the one end that is greater in length of a portion laid over the substrate toward the other end.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: May 5, 2009
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Hitoshi Hatate
  • Patent number: 7514115
    Abstract: Aspects of the invention can provide a method of fabricating an organometallic compound film capable, by stably forming a film with the organometallic compound, of increasing bonding forces of junction interfaces and of realizing a hyper thin film, the organometallic compound film, and an organoelectronic device (e.g., an organic electroluminescence device, an organic solar battery, or an organic thin film transistor) and an electronic device equipped with the organometallic compound film are provided. The method of fabricating a thin film of an organometallic compound on a substrate, can include the step of forming an organic material by a liquid phase process and the step of forming metal by a vapor phase process to form a thin film of an organometallic compound composed of the organic material and the metal.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: April 7, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Takeshi Takashima, Katsuyuki Morii, Hirofumi Hokari, Rie Makiura
  • Publication number: 20090087793
    Abstract: A method of nano-patterning, a method of manufacturing a nano-imprinting master and a discrete track magnetic recording medium are all provided. The method of nano-patterning includes (a) sequentially forming on a substrate an etching object material layer, a photoresist layer, and a metal layer patterned to a first pattern having a structure in which line patterns are repeatedly arranged with a predetermined interval; (b) irradiating light onto a surface of the metal layer to excite surface plasmon so that the photoresist layer is exposed to a second pattern by the surface plasmon; (c) removing the metal layer and developing the photoresist layer; and (d) etching the etching object material layer using the photoresist layer patterned to the second pattern as a mask.
    Type: Application
    Filed: March 14, 2008
    Publication date: April 2, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hae-sung KIM, Myung-bok Lee, Jin-seung Sohn
  • Patent number: 7504186
    Abstract: A photomask has a semi-light-shielding portion having a light-shielding property and a light-transmitting portion surrounded by the semi-light-shielding portion, and a peripheral portion positioned in the periphery of the light-transmitting portion. The semi-light-shielding portion and the light-transmitting portion transmit exposure light in the same phase, whereas the peripheral portion transmits exposure light in a phase opposite to that of the light-transmitting portion.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: March 17, 2009
    Assignee: Panasonic Corporation
    Inventor: Akio Misaka
  • Patent number: 7491479
    Abstract: One embodiment of the present invention provides a system that accurately determines a critical dimension of a feature in a layout by compensating for the effects of topography variation on the performance of an optical lithography process. During operation, the system first receives a layout. Next, the system computes and aerial-image intensity at an evaluation point in the layout using and optical lithography model that models the optical lithography process. Note that the aerial-image intensity is typically compared with a constant intensity threshold to determine a critical dimension of a feature in the layout. The system then computes an intensity threshold based on features in the proximity of the evaluation point, which compensates for the effects of topography variations on the performance of the optical lithography process.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: February 17, 2009
    Assignee: Synopsys, Inc.
    Inventors: Lawrence S. Melvin, III, Jensheng Huang
  • Patent number: 7476471
    Abstract: A method for correcting an exposure mask including a film of mask blank having reflex function for an EUV and an absorber film patterned on the film of mask blank for absorbing the EUV, the present method includes the steps of obtaining a light energy E0 when the EUV is vertically incident to the front surface of the mask, and when the EUV is incident to the front surface of the mask at an angle that can be considered that it is vertically incident thereto; obtaining a light energy E1 when the EUV is obliquely incident to the front surface of the mask and the EUV is reflected; and correcting the mask pattern in accordance with the compared result of the light energies E0 and E1.
    Type: Grant
    Filed: February 21, 2005
    Date of Patent: January 13, 2009
    Assignee: Sony Corporation
    Inventor: Minoru Sugawara
  • Patent number: 7473519
    Abstract: A method for manufacturing electrodes of a plasma display panel includes providing a front transparent substrate including transparent electrodes on the front transparent substrate, coating a black photosensitive paste film and a main photosensitive conductive paste film of negative-working type on the transparent electrodes, exposing the black photosensitive paste film and main photosensitive conductive paste film to define bus electrodes on the transparent electrodes, wherein exposure energy acting on main regions of the bus electrodes is greater than exposure energy acting on edge regions of the bus electrodes, developing the black photosensitive paste film and main photosensitive conductive paste film to form the bus electrodes, in which a thickness of the edge regions of the bus electrodes is less than a thickness of the main regions of the bus electrodes, and firing the black photosensitive paste film and main photosensitive conductive paste film.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: January 6, 2009
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventor: Ching-Hui Lin
  • Patent number: 7473523
    Abstract: Systems and methods for modifying features of a semiconductor device. The systems and methods of the invention modify features of a semiconductor device according to the amount of exposure dose of light to which a common reticle field of a semiconductor device is exposed. A mask, or a thin film provided on a mask, having sub-resolutions provided thereon determines the amount of exposure dose to which various parts of the reticle field is exposed during the exposure. As a result, different features within the same reticle field can exhibit different dimensions even though exposed to the same exposure dose.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Jed H. Rankin
  • Patent number: 7468239
    Abstract: A mask for photolithography in which a semi-transmission film is formed so that the phase difference of lights passing through a semi-transmission portion and a transmission portion of the mask for photolithography is between (?¼+2 m) ? and (¼+2 m) ? inclusive, where m is an integer. The invention makes it possible to efficiently and properly form a thin film having a multi-step structure by a single process.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: December 23, 2008
    Assignee: Sony Corporation
    Inventors: Masato Imai, Akira Maehara, Yoko Fukunaga
  • Patent number: 7469058
    Abstract: Provided are a method and system for determining states of spatial light modulator (SLM) pixels in a lithography system configured to print a desired pattern. The method includes determining diffraction orders associated with an ideal mask of a pattern to be printed by the lithography system, and then configuring the states of the SLM pixels to match all the diffraction orders that are relevant in the image formation.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: December 23, 2008
    Assignee: ASML Holding N.V.
    Inventors: Azat Latypov, Sherman Poultney, Wenceslao Cebuhar
  • Publication number: 20080304034
    Abstract: A lithographic apparatus comprises a patterning device, a projection system, and a controller. The patterning device is configured to pattern a beam of radiation. The radiation beam comprises a plurality of pulses of radiation. The projection system is configured to project the patterned beam of radiation onto a substrate coated with a layer of radiation sensitive material. The controller is arranged to control a total energy of a respective pulse of the plurality of pulses of the radiation beam. The controller is configured to take into account information indicative of properties of the layer of radiation sensitive material on a part of the substrate onto which the radiation beam is to be projected.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: ASML Netherlands B.V.
    Inventors: David Christopher Ockwell, Johannes Albert Rozenveld, Minne Cuperus
  • Publication number: 20080302980
    Abstract: An extreme ultra-violet lithographic apparatus for imaging a pattern onto a substrate includes a radiation system constructed and arranged to provide a beam of an extreme ultra-violet radiation, and an absorber arranged in the beam and constructed and arranged to absorb at least a portion of the radiation beam. The absorber has a volume configured to accommodate a flow of an absorbing gas. The flow is directed in a transverse direction with respect to the beam. The absorber includes a structure having an extreme ultra-violet radiation-transmissive beam entry area and an extreme ultra-violet radiation-transmissive beam exit area. The apparatus also includes a gas inlet actuator array configured to inject the gas into the volume and a gas outlet actuator array arranged to evacuate the gas from the volume.
    Type: Application
    Filed: June 7, 2007
    Publication date: December 11, 2008
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Jan Bernard Plechelmus Van Schoot, Johannes Hubertus Josephina Moors
  • Patent number: 7460282
    Abstract: A processor such as a digital signal processor (DSP) is used to dynamically generate patterns and/or sequences of patterns for a spatial light modulator (SLM), such as a micromirror device, for the purpose of processing light. The combination of the processor and modulator has afforded optical signal processing in the digital domain and programmability that cannot be achieved with conventional analog optical computing.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: December 2, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: John Ling Wing So
  • Patent number: 7455939
    Abstract: A method of making a process monitor grating pattern for use in a lithographic imaging system comprises determining minimum resolvable pitch of a plurality of spaced, adjacent line elements, and selecting a process monitor grating period that is an integer multiple M, greater than 1, of the minimum resolvable pitch. The method then includes designing a process monitor grating pattern having a plurality of adjacent sets of grouped line elements spaced from each other. Each set of grouped line elements is spaced from and parallel to an adjacent set of grouped line elements by the process monitor grating period, such that when the process monitor grating pattern is projected by the lithographic imaging system the line elements in each set are unresolvable from each other and Fourier coefficients of diffracted orders m created by the line elements in the range of 1<|m|?M are zero.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: November 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy A. Brunner, Christopher P. Ausschnitt
  • Patent number: 7449284
    Abstract: A method for fabricating mechanical structures from bonding substrates. The method includes providing a bonded substrate structure, which includes a first substrate having a first thickness of silicon material and a first face. The bonded substrate also includes a second substrate having a second thickness and a second face. At least the first substrate or at least the second substrate (or both) has an alignment mark comprising a front-size zero mark within a portion of either the first thickness or the second thickness. The method includes applying a layer of photomasking material overlying a first backside surface of the first substrate. The method includes illuminating electromagnetic radiation using a coherent light source through the layer of photoresist material and through a portion of the first thickness. The method includes detecting an indication of the alignment mark using a signal associated with a portion of the electromagnetic radiation from a second backside of the second substrate.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: November 11, 2008
    Assignee: Miradia Inc.
    Inventor: Xiao Charles Yang
  • Patent number: 7442477
    Abstract: An exposing apparatus for irradiating desired spots on a substrate to be exposed relatively moving with respect to two or more light sources arranged along the direction of the relative movement to form a desired exposure pattern using the light sources comprises a control means for controlling the turning-on of only specific light sources out of the two or more light sources at a specific timing.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: October 28, 2008
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Kazunari Sekigawa, Masatoshi Akagawa
  • Patent number: 7439001
    Abstract: A method for optimizing imaging and process parameter settings in a lithographic pattern imaging and processing system is disclosed. The method includes correlating the dimensions of a first set of at least one control pattern printed in a lithographic resist layer, measured at three or more locations on or within the pattern which correspond to differing dose, defocus and blur sensitivity. The method then includes measuring the dimensions on subsequent sets of control patterns, printed in a lithographic resist layer, at three or more locations on or within each pattern, of which a minimum of three locations match those measured in the first set, and determining the effective dose, defocus and blur values associated with forming the subsequent sets of control patterns by comparing the dimensions at the matching locations with the correlated dependencies. A method for determining blur, focus and exposure dose error in lithographic imaging is also disclosed.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Timothy A. Brunner, Shahid A. Butt, Daniel A. Corliss
  • Patent number: 7435535
    Abstract: A method for forming patterned insulating elements on a substrate includes a plurality of exposure steps of exposing a photosensitive paste provided on the substrate through at least one mask having a predetermined pattern; a developing step of developing the exposed photosensitive paste to form a precursor pattern; and a firing step of firing the precursor pattern to form the patterned insulating elements. This method is applied to a method for forming an electron source and a method for forming an image display device including the electron source.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: October 14, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasuyuki Watanabe, Kazuya Ishiwata, Yoshimi Uda, Shinsaku Kubo
  • Publication number: 20080226990
    Abstract: A dark border region may be integrated with a window covering a patterning device, such that light from an active area of the patterning device passes through the dark border region, while excess light is removed from the system by the dark border region. The dark border region may be, for example and without limitation, a light-absorbing material, a wedged light reflective coating that reflects light out of the lithography system, or an interference grating that causes destructive interference in unwanted light to remove the unwanted light from the system. The dark border region may overlap a similar dark border region located on the surface of on the patterning device to optimize a width of the total dark border region without sacrificing excessive valuable real-estate area on the surface of the patterning device or compromising alignment tolerance levels of the lithography system.
    Type: Application
    Filed: March 15, 2007
    Publication date: September 18, 2008
    Inventor: Jason Douglas Hintersteiner
  • Patent number: 7415694
    Abstract: For phase-shifting micro lithography, a method of assigning phase to a set of shifter polygons in a mask layer separated by a set of target features includes assigning a first phase to a first shifter polygon, identifying a set of target features that touch the first shifter polygon, and assigning a second phase to all shifter polygons in the set that touch the set of target features in contact with the first shifter polygon. The set of shifter polygons and the set of target features are separated into aggregates that are spatially isolated from each other such that the phase assignment in one aggregate does not affect the phase assignments in other aggregates.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: August 19, 2008
    Assignee: Synopsis Incorporated
    Inventor: Jeffrey P. Mayhew
  • Patent number: 7410736
    Abstract: A method and system are provided for forming a pattern within an area of a photosensitive surface. An exemplary method includes performing a first exposure of the photosensitive surface in accordance with predetermined image data, wherein the first exposure occurs during a first pass and produces a first image within the area. The image data is adjusted to compensate for identified image deficiencies image deficiencies, the image deficiencies being within a region of the first image. A second exposure, of the photosensitive surface, is performed in accordance with the adjusted image data during a second pass.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 12, 2008
    Assignee: ASML Holding N.V.
    Inventors: Arno Bleeker, Wenceslao A. Cebuhar, Azat Latypov
  • Patent number: 7402378
    Abstract: There is provided an exposure method that includes the steps of forming a phase shift mask having a desired pattern and a cyclic dummy pattern overlaid onto the desired pattern, a part of the desired pattern to be resolved by effects of the dummy pattern being thicker than the dummy pattern's line width, illuminating the phase shift mask by using illumination light having a peak near or on an optical axis in an intensity distribution to transfer the desired pattern onto the exposure plane by projecting light having passed through the phase shift mask onto the exposure plane.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 22, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenji Saitoh, Miyoko Kawashima
  • Patent number: 7399559
    Abstract: A photolithography mask for optically transferring a pattern formed in the mask onto a substrate and for negating optical proximity effects. The mask includes a plurality of resolvable features to be printed on the substrate, and at least one non-resolvable optical proximity correction feature, where the non-resolvable optical proximity correction feature is a phase-edge.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: July 15, 2008
    Assignee: ASML Masktools B.V.
    Inventors: Douglas Van Den Broeke, J. Fung Chen
  • Patent number: 7389930
    Abstract: A mask management and bar code reading apparatus thereof are disclosed. The mask management method comprises providing a bar code reading apparatus, scanning a mask bar code on the mask by the bar code reading apparatus, transferring scanned information to a mask management system, producing a box bar code, moving the mask to a mask pod from a mask protective case, sticking the box bar code on the mask pod, inputting the data recorded in the box bar code into an exposure equipment, and exposing the mask by the exposure equipment. The bar code reading apparatus includes at least a clamping element to clamp the mask protective case, at least a reading head disposed on the clamping element to read a mask bar code, and a first light source providing a first light to irradiate the mask protective case to increase reading accuracy of the reading head.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: June 24, 2008
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Kuo-Chung Liu, Wen-Jui Tseng
  • Patent number: 7388216
    Abstract: A pattern forming method is proposed for easy correction of a pattern-size variation occurring in an etching process. An energy beam is radiated onto a resist-applied target while the energy beam is adjusted to correct the pattern-size variation occurring in the etching process. The resist on the target is developed to form a resist pattern. The target is etched with the resist pattern as a mask, thus forming patterns thereon.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: June 17, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Munehiro Ogasawara
  • Patent number: 7385209
    Abstract: Ion beam lithography technique wherein a higher amount of radiation energy is deposited to predetermined regions in the bulk if a suitable substrate. By selecting the radiation nature, its energy and the irradiation parameters a structure can be created in the bulk of the material leaving the surface essentially untouched.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: June 10, 2008
    Assignee: Haute Ecole Arc Ne-Be-Ju
    Inventors: Samuel Jaccard, Serguei Mikhailov, Frans Munnik
  • Patent number: 7382959
    Abstract: A method and system of creating one or more waveguides and/or patterning these waveguides to form a 3D microstructure that uses mask and collimated light. In one embodiment, the system includes at least one collimated light source selected to produce a collimated light beam; a reservoir having a photo-monomer adapted to polymerize by the collimated light beam; and a mask having at least one aperture and positioned between the at least one collimated light source and the reservoir. Here, the at least one aperture is adapted to guide a portion of the collimated light beam into the photo-monomer to form the at least one polymer waveguide through a portion of a volume of the photo-monomer.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: June 3, 2008
    Assignee: HRL Laboratories, LLC
    Inventor: Alan J. Jacobsen
  • Patent number: 7374866
    Abstract: According to one embodiment of the present invention, a method of forming a semiconductor device includes forming a photoresist layer on a surface of a wafer. The wafer includes an array of die that includes a plurality of complete die and at least one partial edge die. The wafer has an edge that has a substantially rounded profile causing undersized patterns in semiconductor devices formed on partial edge die. A first exposure intensity is assigned to a first group of die on the surface of the wafer. The first group of die includes a group of complete die, and the first exposure intensity is assigned based at least in part on the location of the first group of die on the surface of the wafer. A second exposure intensity is assigned to a second group of die on the surface of the wafer. The second group of die includes at least one partial edge die. The second exposure intensity less than the first exposure intensity to compensate for reduced line width due to the wafer edge topography.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: May 20, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Chris D. Atkinson, Richard L. Guldi, Shangting Detweiler