Initiator Containing Patents (Class 430/913)
  • Patent number: 11914294
    Abstract: A positive resist composition comprising a base polymer comprising repeat units having a carboxy group whose hydrogen is substituted by an acid labile group in the form of a tertiary hydrocarbon group containing a nitrogen atom and aromatic group exhibits a high sensitivity, high resolution, low edge roughness and small size variation, and forms a pattern of good profile after exposure and development.
    Type: Grant
    Filed: December 9, 2021
    Date of Patent: February 27, 2024
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 11720021
    Abstract: A positive resist composition comprising a base polymer comprising recurring units (a) containing an imide group having an iodized aromatic group bonded thereto and recurring units (b1) having an acid labile group-substituted carboxyl group and/or recurring units (b2) having an acid labile group-substituted phenolic hydroxyl group has a high sensitivity and resolution and forms a pattern of good profile with reduced edge roughness and size variation.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: August 8, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masahiro Fukushima
  • Patent number: 11421128
    Abstract: A coating composition, and uses thereof, including a solvent, metal oxide nanoparticles dispersed in this solvent, and a high carbon polymer dissolved in this solvent, where the high carbon polymer includes a repeat unit of structure (1), a hydroxybiphenyl repeat unit of structure (2) and a moiety containing a fused aromatic containing moiety of structure (3) where R1 and R2 are independently selected from the group of hydrogen, an alkyl and a substituted alkyl, Ar is an unsubstituted or substituted fused aromatic ring and X1 is an alkylene spacer, or a direct valence bound.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: August 23, 2022
    Assignee: Merck Patent GMBH
    Inventors: M. Dalil Rahman, Huirong Yao, JoonYeon Cho, Munirathna Padmanaban, Elizabeth Wolfer
  • Patent number: 10173975
    Abstract: A sulfonium compound having formula (1) is provided wherein R1, R2 and R3 are a C1-C20 monovalent hydrocarbon group which may contain a heteroatom, p=0-5, q=0-5, and r=0-4. A resist composition comprising the sulfonium compound is processed by lithography to form a resist pattern with improved LWR and pattern collapse.
    Type: Grant
    Filed: October 11, 2017
    Date of Patent: January 8, 2019
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki Ohashi, Takayuki Fujiwara, Ryosuke Taniguchi, Kazuya Honda, Takahiro Suzuki
  • Patent number: 9040224
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, and a photo-decomposable quencher (D0) containing a compound represented by general formula (d0) shown below. In the formula, R1 represents a hydrocarbon group of 4 to 20 carbon atoms which may have a substituent; Y1 represents a single bond or a divalent linking group; R2 and R3 each independently represents a substituent of 0 to 20 carbon atoms other than a fluorine atom; one of R2 and R3 may form a ring with Y1; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: May 26, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Akiya Kawaue, Takaaki Kaiho, Tsuyoshi Nakamura
  • Patent number: 9034559
    Abstract: A pattern-forming method includes providing a resist film on a substrate using a radiation-sensitive composition. The resist film is exposed. The exposed resist film is developed using a developer solution. The developer solution includes no less than 80% by mass of an organic solvent. The radiation-sensitive composition includes at least two components including a first polymer and a radiation-sensitive acid generator. The first polymer includes a structural unit having an acid-labile group. One or more components of the radiation-sensitive composition have a group represented by a formula (1). A? represents —N?—SO2—RD, —COO?, —O? or —SO3?. —SO3? does not directly bond to a carbon atom having a fluorine atom. RD represents a linear or branched monovalent hydrocarbon group, or the like. X+ represents an onium cation.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: May 19, 2015
    Assignee: JSR CORPORATION
    Inventors: Hirokazu Sakakibara, Masafumi Hori, Taiichi Furukawa, Koji Ito
  • Patent number: 9023586
    Abstract: The invention provides a positive resist composition, wherein a polymer compound having the weight-average molecular weight in the range of 1,000 to 500,000 and comprising a repeating unit having a hydrogen atom in a carboxyl group and/or in a phenolic hydroxy group therein been substituted by an acid-labile group and a repeating unit “a” having a cyclopentadienyl complex shown by the following general formula (1) is used as a base resin therein. There can be a positive resist composition having not only small edge roughness (LER and LWR) while having a higher resolution than conventional positive resist compositions, but also a good pattern form after exposure and an extremely high etching resistance, especially a positive resist composition using a polymer compound suitable as a base resin for a chemically amplifying resist composition; and a patterning process.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: May 5, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 9023582
    Abstract: A photosensitive polymer includes a repeating unit represented by Formula 1 and the photosensitive polymer has a weight average molecule weight of from about 3,000 to about 50,000:
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sang-Jun Choi
  • Patent number: 9023584
    Abstract: A radiation-sensitive composition includes a compound represented by a formula (1), and a polymer having a structural unit that includes an acid-labile group. In the formula (1), R1 represents a group having a polar group; n is an integer of 1 to 4, wherein, in a case where R1 is present in a plurality of number, the plurality of R1s are identical or different, and optionally at least two R1s taken together represent a cyclic structure; A represents an alicyclic hydrocarbon group having a valency of (n+1); and M+ represents a monovalent onium cation.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: May 5, 2015
    Assignee: JSR Corporation
    Inventor: Ken Maruyama
  • Patent number: 9017924
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below. In the formula, W1 represents a group which is formed by polymerization reaction of a group containing a polymerizable group; Y1 and Y2 each independently represents a divalent linking group; Y3 represents a carbonyl group or an alkylene group; R2 and R3 each independently represents a fluorine atom or a fluorinated alkyl group; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: April 28, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daichi Takaki, Yoshiyuki Utsumi
  • Patent number: 9017920
    Abstract: A film includes base and a photosensitive layer formed on the base. The photosensitive layer substantially includes a first photosensitive agent, a second photosensitive agent, and a thermosol. The first photosensitive agent is water-soluble. The second photosensitive agent is an aromatic ketone compound or a benzoin ether compound. The method for manufacturing the film and the masking method using the film is also provided.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: April 28, 2015
    Assignees: Shenzhen Futaihong Precision Industry Co., Ltd., FIH (Hong Kong) Limited
    Inventors: Quan Zhou, Chao-Sheng Huang, Xin-Wu Guan
  • Patent number: 9011987
    Abstract: A liquid crystal display including a first substrate; a second substrate facing the first substrate; a thin film transistor disposed on the first substrate; an organic layer disposed on the thin film transistor; a pixel electrode disposed on the organic layer; a lower alignment layer disposed on the pixel electrode; a common electrode disposed on the second substrate; and an upper alignment layer disposed on the common electrode, wherein a first free radical included in the organic layer and a second free radical included in at least one of the lower alignment layer and the upper alignment layer are radical bonded.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: April 21, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jun Hyup Lee, Sang Gyun Kim, Jang-Hyun Kim, Tae Hoon Kim, Seung Wook Nam, Keun Chan Oh, Taek Joon Lee
  • Patent number: 8999624
    Abstract: The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Ranee Kwong, Sen Liu
  • Patent number: 8986918
    Abstract: The present invention relates to a hybrid photoresist composition for improved resolution and a pattern forming method using the photoresist composition. The photoresist composition includes a radiation sensitive acid generator, a crosslinking agent and a polymer having a hydrophobic monomer unit and a hydrophilic monomer unit containing a hydroxyl group. At least some of the hydroxyl groups are protected with an acid labile moiety having a low activation energy. The photoresist is capable of producing a hybrid response to a single exposure. The patterning forming method utilizes the hybrid response to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method of the present invention are useful for printing small features with precise image control, particularly spaces of small dimensions.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: March 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Sen Liu
  • Patent number: 8980529
    Abstract: A radiation-sensitive resin composition includes a polymer component, a radiation-sensitive acid generating agent, and a nitrogen-containing compound having a ring structure. The polymer component includes, in an identical polymer or different polymers, a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). R1 represents a hydrogen atom or a methyl group. Z is a group which represents a divalent monocyclic alicyclic hydrocarbon group taken together with R2. R2 represents a carbon atom. R3 represents a methyl group or an ethyl group. R4 represents a hydrogen atom or a methyl group. X is a group which represents a divalent bridged alicyclic hydrocarbon group having no less than 10 carbon atoms taken together with R5. R5 represents a carbon atom. R6 represents a branched alkyl group having 3 or 4 carbon atoms.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: March 17, 2015
    Assignee: JSR Corporation
    Inventors: Yasuhiko Matsuda, Norihiko Sugie, Tomohiro Kakizawa, Takakazu Kimoto
  • Patent number: 8980526
    Abstract: Disclosed are a hydrophilic photoacid generator prepared by copolymerization of a first (meth)acrylic acid ester having a structure represented by the following Formula 1 or 2, with a polymerizable monomer selected from the group consisting of a second (meth)acrylic acid ester, an olefin-based compound and a mixture thereof, each of which contains a functional group selected from the group consisting of a hydroxyl group, a carboxyl group, a lactone group, a nitrile group and a halogen group: wherein respective substituents are defined in the specification, and a resist composition comprising the same. The hydrophilic photoacid generator is uniformly dispersed in a resist film, thus improving a line edge roughness of resist patterns.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: March 17, 2015
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Dae Kyung Yoon, Kyoung Jin Ryu, Sung Jae Lee, Hyun Sang Joo
  • Patent number: 8956801
    Abstract: A resist composition including a base component which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, and an organic solvent component, the base component containing a resin component having a structural unit which generates acid, and the organic solvent component containing an organic solvent component including a compound represented by general formula (s-1) shown below in which X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: February 17, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kensuke Matsuzawa
  • Patent number: 8956802
    Abstract: Provided is a negative type pattern forming method that satisfies high sensitivity, high resolution, good roughness and good dry etching resistance at the same time, and further, has a good development time dependency, the method including (i) forming a film by a chemical amplification resist composition containing (A) a fullerene derivative having an acid-decomposable group, (B) a compound generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) exposing the film, and (iii) developing the exposed film by using an organic solvent-containing developer.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 17, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Kaoru Iwato
  • Patent number: 8951710
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Grant
    Filed: August 4, 2014
    Date of Patent: February 10, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 8945814
    Abstract: Acid generator compounds are provided that are particularly useful as photoresist composition components. Preferred acid generators include cyclic sulfonium compounds that comprise a covalently linked acid-labile group.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: February 3, 2015
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: James F. Cameron, Vipul Jain, Paul J. LaBeaume, Jin Wuk Sung, James W. Thackeray
  • Patent number: 8945812
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure and an organic solvent component (S), the organic solvent component (S) containing an organic solvent (S1) including a compound represented by general formula (s-1) shown below and the acid-generator component (B) being an onium salt (in the formula, X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3).
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: February 3, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Naoto Motoike
  • Patent number: 8932796
    Abstract: The present invention relates to a hybrid photoresist composition for improved resolution and a pattern forming method using the photoresist composition. The photoresist composition includes a radiation sensitive acid generator, a crosslinking agent and a polymer having a hydrophobic monomer unit and a hydrophilic monomer unit containing a hydroxyl group. At least some of the hydroxyl groups are protected with an acid labile moiety having a low activation energy. The photoresist is capable of producing a hybrid response to a single exposure. The patterning forming method utilizes the hybrid response to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method of the present invention are useful for printing small features with precise image control, particularly spaces of small dimensions.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: January 13, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song S. Huang, Sen Liu, Steven J. Holmes, Gregory Breyta
  • Patent number: 8927190
    Abstract: New nitrogen-containing compounds are provided that comprise multiple hydroxyl moieties and photoresist compositions that comprise such nitrogen-containing compounds. Preferred nitrogen-containing compounds comprise 1) multiple hydroxyl substituents (i.e. 2 or more) and 2) one or more photoacid-labile groups.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: January 6, 2015
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Cong Liu, Chunyi Wu, Gerhard Pohlers, Gregory P. Prokopowicz, Cheng-Bai Xu
  • Patent number: 8921031
    Abstract: Provided are photoresist overcoat compositions, substrates coated with the overcoat compositions and methods of forming electronic devices by a negative tone development process. The compositions, coated substrates and methods find particular applicability in the manufacture of semiconductor devices.
    Type: Grant
    Filed: September 9, 2012
    Date of Patent: December 30, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Rosemary Bell, Jong Keun Park, Seung-Hyun Lee
  • Patent number: 8916330
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: December 23, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8906590
    Abstract: The invention relates to an antireflective coating composition comprising a crosslinker and a crosslinkable polymer capable of being crosslinked by the crosslinker, where the crosslinkable polymer comprises a unit represented by structure (1): -A-B-C-??(1) where A is a fused aromatic ring, B has a structure (2), and C is a hydroxybiphenyl of structure (3) where R1 is C1-C4alkyl and R2 is C1-C4alkyl. The invention further relates to a process for forming an image using the composition.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: December 9, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Dalil M Rahman, Douglas McKenzie, Jianhui Shan, JoonYeon Cho, Salem K. Mullen, Clement Anyadiegwu
  • Patent number: 8895231
    Abstract: A pattern is formed by coating a first positive resist composition comprising a base resin, a photoacid generator, and a base generator having both a 9-fluorenylmethyloxycarbonyl-substituted amino group and a carboxyl group onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for causing the base generator to generate a base for inactivating the pattern to acid, coating a second positive resist composition comprising an alcohol and an optional ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: November 25, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8889919
    Abstract: A cyclic compound represented by formula (1): wherein L, R1, R?, and m are as defined in the specification. The cyclic compound of formula (1) is highly soluble to a safety solvent, highly sensitive, and capable of forming resist patterns with good profile. Therefore, the cyclic compound is useful as a component of a radiation-sensitive composition.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: November 18, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Hiromi Hayashi
  • Patent number: 8883396
    Abstract: A resist composition containing a base component (A) which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, wherein the base component (A) contains a copolymer (A1) having a structural unit (a0) containing a group represented by the following general formula (a0-1) or (a0-2), a structural unit (a11) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a polycyclic group, and a structural unit (a12) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a monocyclic group. Each of the groups —R3—S+(R4)(R5) and Mm+ in the formula has only one aromatic ring as a whole or has no aromatic ring.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: November 11, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Masahito Yahagi, Jun Iwashita
  • Patent number: 8883394
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: November 11, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8883937
    Abstract: A cyclic compound represented by formula (1): wherein L, R1, R?, and m are as defined in the specification. The cyclic compound of formula (1) is highly soluble to a safety solvent, highly sensitive, and capable of forming resist patterns with good profile. Therefore, the cyclic compound is useful as a component of a radiation-sensitive composition.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: November 11, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Hiromi Hayashi
  • Patent number: 8877423
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin that contains a repeating unit represented by formula (I) as defined in the specification, a repeating unit represented by formula (II) as defined in the specification and a repeating unit represented by formula (III-a) or (III-b) as defined in the specification; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a solvent, wherein the solvent (C) contains ethyl lactate, and a film and a pattern forming method using the composition are provided.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: November 4, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kana Fujii, Toru Fujimori
  • Patent number: 8871428
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Grant
    Filed: September 3, 2012
    Date of Patent: October 28, 2014
    Assignee: Rohm and Haas Electronics Materials LLC
    Inventors: Deyan Wang, Cheng-Bai Xu, George G. Barclay
  • Patent number: 8871425
    Abstract: This invention relates generally to silicon based photoresist compositions that can be used in forming low k dielectric constant materials suitable for use in electronic devices, methods of their use and the electronic devices made therefrom.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: October 28, 2014
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: Ruzhi Zhang, Jihoon Kim, Bharatkumar K. Patel, Elizabeth Wolfer
  • Patent number: 8871421
    Abstract: A positive resist composition comprising: (A) a resin which comes to have an enhanced solubility in an alkaline developing solution by an action of an acid; (B) a compound which generates an acid upon irradiation with actinic rays or a radiation; (C) a fluorine-containing compound containing at least one group selected from the groups (x) to (z); and (F) a solvent, and a method of pattern formation with the composition: (x) an alkali-soluble group; (y) a group which decomposes by an action of an alkaline developing solution to enhance a solubility in an alkaline developing solution; and (z) a group which decomposes by an action of an acid.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: October 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hiromi Kanda, Schinichi Kanna, Haruki Inabe
  • Patent number: 8871427
    Abstract: There is disclosed a positive resist composition comprising (A) a resin having repeating units shown by the following general formulae (1) and (2) as repeating units that contain acid labile groups and being capable of increasing its alkaline solubility by an acid, (B) a photoacid generator, (C) a compound shown by the following general formula (3), and (D) a solvent. There can be a positive resist composition having high resolution, and at the same time giving an excellent pattern profile; and a patterning process in which an immersion lithography is carried out using a formed top coat.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: October 28, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryosuke Taniguchi, Akihiro Seki, Kenji Funatsu, Katsuhiro Kobayashi
  • Patent number: 8846290
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes: (A) a resin capable of increasing a solubility of the resin (A) in an alkali developer by an action of an acid; and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, wherein (B) the compound capable of generating an acid upon irradiation with an actinic ray or radiation is contained in an amount of 10 to 30 mass % based on the entire solid content of the actinic ray-sensitive or radiation-sensitive resin composition, and a pattern forming method uses the composition.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: September 30, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Naohiro Tango, Michihiro Shirakawa, Mitsuhiro Fujita, Shuhei Yamaguchi, Akinori Shibuya, Shohei Kataoka
  • Patent number: 8841060
    Abstract: An actinic-ray-sensitive or radiation-sensitive resin composition which is capable of improving line edge roughness (LER) and inhibiting pattern collapse, a resist film and a pattern forming method each using the same, a method for preparing an electronic device, and an electronic device are provided. The actinic-ray-sensitive or radiation-sensitive resin composition contains: (A) a resin having repeating units having a structure represented by any one of the following general formulae (I-1) to (I-3), and repeating units containing at least one selected from the group consisting of a lactone structure, a sultone structure, and a cyano group; and (B) a compound that generates an acid by irradiation with actinic rays or radiations.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 23, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Shohei Kataoka, Yusuke Iizuka, Akinori Shibuya, Tomoki Matsuda, Naohiro Tango
  • Patent number: 8841058
    Abstract: A photolithography material is provided. The photolithography material is a surface modifying material. The photolithography material includes a polymer (e.g., fluorine polymer) that includes less than approximately 80% hydroxyl groups. In an embodiment, the photolithography material includes less than approximately 80% fluoro-alcohol functional units. Methods of using the photolithography material include as an additive to a photoresist or topcoat layer. The photolithography material may be used in an immersion lithography process.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8835096
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 8835098
    Abstract: Provided is a pattern forming method making it possible to obtain a pattern with less scums and watermark defects. The pattern forming method includes the steps of forming a film from an actinic-ray- or radiation-sensitive resin composition includes a resin (A) that exhibits an increased solubility in an alkali developer when acted on by an acid, a compound (B) that generates an acid when exposed to actinic rays or radiation, and a resin (C) containing at least one of a fluorine atom and a silicon atom, exposing the film to light, and developing the exposed film using a tetramethylammonium hydroxide solution whose concentration is less than 2.38 mass %.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: September 16, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Toshiaki Fukuhara
  • Patent number: 8828643
    Abstract: A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent.
    Type: Grant
    Filed: March 1, 2013
    Date of Patent: September 9, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hiromi Kobayashi, Haruki Inabe
  • Patent number: 8828642
    Abstract: Disclosed is a positive photosensitive resin composition which is characterized by containing (a) a polysiloxane that is synthesized by hydrolyzing and partially condensing a specific organosilane and an organosilane oligomer, (b) aluminum compound particles, tin compound particles, titanium compound particles, zirconium compound particles, composite particles of the aforementioned compounds or composite particles of any of the aforementioned compounds and a silicon compound, (c) a naphthoquinonediazide compound and (d) a solvent. The positive photosensitive resin composition is also characterized in that the organosilane oligomer contains a specific organosilane. The positive photosensitive resin composition has achieved excellent sensitivity and resolution without deteriorating high refractive index and high transparency.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: September 9, 2014
    Assignee: Toray Industries, Inc.
    Inventors: Masao Kamogawa, Toru Okazawa, Mitsuhito Suwa
  • Patent number: 8822129
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kaoru Iwato, Hideaki Tsubaki, Shuji Hirano
  • Patent number: 8815491
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: August 26, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 8808965
    Abstract: A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains (A) a resin containing a repeating unit having two or more hydroxyl groups, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a crosslinking agent and (D) a solvent; a pattern formed by the pattern forming method; a chemical amplification resist composition used in the pattern forming method; and a resist film formed using the chemical amplification resist composition.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: August 19, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kaoru Iwato, Shinji Tarutani, Yuichiro Enomoto, Sou Kamimura, Keita Kato
  • Patent number: 8795948
    Abstract: A resist composition including a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution under the action of acid, the base component (A) containing a polymeric compound (A1) including a structural unit (a0) represented by general formula (a0-1) shown below, a structural unit (a1) containing an acid decomposable group which exhibits increased polarity by the action of acid and a structural unit (a6) which generates acid upon exposure (wherein R1 represents a hydrogen atom, an alkyl group or a halogenated alkyl group; W represents —COO—, —CONH— or a divalent aromatic hydrocarbon group; Y1 and Y2 represents a divalent linking group or a single bond; R?1 represents a hydrogen atom or an alkyl group of 1 to 6 carbon atoms; R?2 represents a monovalent aliphatic hydrocarbon group; and R2 represents an —SO2— containing cyclic group).
    Type: Grant
    Filed: March 19, 2013
    Date of Patent: August 5, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Dazai, Yoshiyuki Utsume, Jun Iwashita, Kensuke Matsuzawa, Kenri Konno
  • Patent number: 8795943
    Abstract: The present invention relates to a negative photoresist composition and a patterning method for device in which a photoresist pattern having a high sensitivity with a good reverse taper profile can be formed not only to realize an effective patterning of various thin films but also to facilitate removal of the photoresist pattern after the patterning. The photoresist composition comprises an alkali-soluble binder resin; a halogen-containing first photo-acid generator; a triazine-based second photo-acid generator; a cross-linking agent having an alkoxy structure; and a solvent.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: August 5, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Chan-Hyo Park, Kyung-Jun Kim, Yu-Na Kim
  • Patent number: 8778596
    Abstract: A photosensitive resin composition which is excellent in resolution, low in cost, and usable in a wide range of structures of polymer precursors each of which is reacted into a final product by a basic substance or by heating in the presence of a basic substance. The photosensitive resin composition includes a base generator which has a specific structure and generates a base by exposure to electromagnetic radiation and heating, and a polymer precursor which is reacted into a final product by the base generator and by a basic substance or by heating in the presence of a basic substance.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: July 15, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Mami Katayama, Shunji Fukuda, Katsuya Sakayori
  • Patent number: 8765354
    Abstract: A resist composition for negative development including a base component (A) which exhibits decreased solubility in an organic solvent under the action of acid and an acid generator component (B) which generates acid upon exposure; and the resist composition used in a method of forming a resist pattern which includes: forming a resist film on a substrate using the resist composition; conducting exposure of the resist film; and patterning the resist film by negative development using a developing solution containing the organic solvent to form a resist pattern, wherein the acid generator component (B) contains an acid generator (B1) that generates an acid having a log P value of 2.7 or less and also a pKa value of at least ?3.5.
    Type: Grant
    Filed: April 5, 2012
    Date of Patent: July 1, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiyuki Utsumi, Hiroaki Shimizu