Self-aligned Patents (Class 438/229)
  • Publication number: 20090291540
    Abstract: A semiconductor process and apparatus includes forming NMOS and PMOS transistors (24, 34) with enhanced hole mobility in the channel region of a transistor by selectively relaxing part of a biaxial-tensile strained semiconductor layer (90) in a PMOS device area (97) to form a relaxed semiconductor layer (91), and then epitaxially growing a bi-axially stressed silicon germanium channel region layer (22) prior to forming the NMOS and PMOS gate structures (26, 36) overlying the channel regions, and then depositing a contact etch stop layer (53-56) over the NMOS and PMOS gate structures. Embedded silicon germanium source/drain regions (84) may also be formed adjacent to the PMOS gate structure (70) to provide an additional uni-axial stress to the bi-axially stressed channel region.
    Type: Application
    Filed: May 22, 2008
    Publication date: November 26, 2009
    Inventors: Da Zhang, Srikanth B. Samavedam, Voon-Yew Thean, Xiangdong Chen
  • Patent number: 7611943
    Abstract: A process (200) for making integrated circuits with a gate, uses a doped precursor (124, 126N and/or 126P) on barrier material (118) on gate dielectric (116). The process (200) involves totally consuming (271) the doped precursor (124, 126N and/or 126P) thereby driving dopants (126N and/or 126P) from the doped precursor (124) into the barrier material (118). An integrated circuit has a gate dielectric (116), a doped metallic barrier material (118, 126N and/or 126P) on the gate dielectric (116), and metal silicide (180) on the metallic barrier material (118). Other integrated circuits, transistors, systems and processes of manufacture are disclosed.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: November 3, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Kaiping Liu
  • Publication number: 20090267160
    Abstract: A semiconductor device comprises an anti-fuse element. The anti-fuse element includes a semiconductor substrate, a first gate insulating film, a first gate electrode, a high-concentration impurity region formed in the semiconductor substrate under the first gate electrode, and first source/drain regions provided in the semiconductor substrate on both sides of the high-concentration impurity region. The first source/drain regions contain an impurity having the same conduction type as conduction type of the high-concentration impurity region.
    Type: Application
    Filed: April 22, 2009
    Publication date: October 29, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Teruhisa ICHISE
  • Patent number: 7608501
    Abstract: By partially removing an etch stop layer prior to the formation of a first contact etch stop layer, a superior stress transfer mechanism may be provided in an integration scheme for generating strain by means of contact etch stop layers. Thus, a semiconductor device having different types of transistors may be provided, in which a high degree of metal silicide integrity as well as a highly efficient stress transfer mechanism is achieved.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 27, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Carsten Peters, Matthias Schaller, Heike Salz
  • Patent number: 7598536
    Abstract: A semiconductor device includes a semiconductor substrate having a resistor region, an isolation layer disposed in the resistor region, the isolation layer defining active regions, first conductive layer patterns disposed on the active regions, a second conductive layer pattern covering the first conductive layer patterns and disposed on the isolation layer, the second conductive layer pattern and the first conductive layer patterns constituting a load resistor pattern, an upper insulating layer disposed over the load resistor pattern, and resistor contact plugs disposed over the active regions, the resistor contact plugs penetrating the upper insulating layer to contact the load resistor pattern.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 6, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-Young Choi, Eun-Jin Baek
  • Patent number: 7592215
    Abstract: According to embodiments of the invention, word line patterns are placed on a semiconductor substrate in a cell array region and at least one gate pattern is placed on the semiconductor substrate in a peripheral circuit region. Side walls of the word line patterns and the gate pattern are covered with word line spacers and gate spacers having the same width as that of the word line spacers, respectively. The semiconductor substrate having the word line spacers and the gate spacers is covered with an interlayer insulating layer. A self-aligned contact hole formed in the interlayer insulating layer penetrates a predetermined region between the word line patterns. The self-aligned contact hole is formed by etching the interlayer insulating layer and the word line spacers. The side walls of the self-aligned contact hole are covered with a self-aligned contact spacer having a width different from that of the gate spacers.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: September 22, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyoung-Sub Kim
  • Patent number: 7579250
    Abstract: A semiconductor device structure is described, including a MOS transistor, a silicon-rich silicon nitride layer having a refractive index of about 2.00-2.30, and a dielectric layer. The silicon-rich silicon nitride layer is disposed between the MOS transistor and the dielectric layer, and covers the source/drain region, the spacer and the gate conductor of the MOS transistor.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: August 25, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Min-Hsian Chen, Ching-Hsing Hsieh
  • Patent number: 7579248
    Abstract: A method for improving uniformity of stressors of MOS devices is provided. The method includes forming a gate dielectric over a semiconductor substrate, forming a gate electrode on the gate dielectric, forming a spacer on respective sidewalls of the gate electrode and the gate dielectric, forming a recess in the semiconductor adjacent the spacer, and depositing SiGe in the recess to form a SiGe stressor. The method further includes etching the SiGe stressor to improve the uniformity of SiGe stressors.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: August 25, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Jim Huang, Ling-Yen Yeh, Hun-Jan Tao
  • Patent number: 7572693
    Abstract: Methods are disclosed for semiconductor device fabrication in which dopants are selectively implanted into transistor gate structures to counteract or compensate for dopant depletion during subsequent fabrication processing. A patterned implant mask is formed over a semiconductor device, which exposes at least a portion of the gate structure and covers the remaining upper surfaces of the device. Thereafter, dopants are selectively implanted in to the exposed gate structure.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: August 11, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: F. Scott Johnson, Tad Grider, Benjamin P. McKee
  • Patent number: 7569446
    Abstract: A complimentary metal oxide semiconductor and a method of manufacturing the same using a self-aligning process to form one of the stacks of device. The method includes depositing an oxide layer over a portion of a metal layer over an nFET region of a CMOS structure and etching the metal layer over a pFET region of the CMOS structure. The method further includes etching at the oxide layer over the nFET region and forming gate structures over the nFET region and pFET region.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Thomas W. Dyer, Haining S. Yang
  • Patent number: 7566610
    Abstract: In a process for the fabrication of integrated resistive elements with protection from silicidation, at least one active area (15) is delimited in a semiconductor wafer (10). At least one resistive region (21) having a predetermined resistivity is then formed in the active area (15). Prior to forming the resistive region (21), however, a delimitation structure (20) for delimiting the resistive region (21) is obtained on top of the active area (15). Subsequently, protective elements (25) are obtained which extend within the delimitation structure (20) and coat the resistive region (21).
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: July 28, 2009
    Inventors: Alessandro Grossi, Roberto Bez, Giorgio Servalli
  • Patent number: 7560312
    Abstract: Semiconductor structures having a decreased semiconductor junction capacitance of a semiconductor junction within an active semiconductor layer may be fabricated using an ion implantation and thermal annealing method. The ion implantation and thermal annealing method provides for a plurality of voids located completely within the active semiconductor layer proximate to the semiconductor junction located within the active semiconductor layer, absent stressing of the active semiconductor layer.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Xiangdong Chen
  • Publication number: 20090170258
    Abstract: One embodiment relates to a method of fabricating an integrated circuit. In the method, p-type polysilicon is provided over a semiconductor body, where the p-type polysilicon has a first depth as measured from a top surface of the p-type polysilicon. An n-type dopant is implanted into the p-type polysilicon to form a counter-doped layer at the top-surface of the p-type polysilicon, where the counter-doped layer has a second depth that is less than the first depth. A catalyst metal is provided that associates with the counter-doped layer to form a catalytic surface. A metal is deposited over the catalytic surface. A thermal process is performed that reacts the metal with the p-type polysilicon in the presence of the catalytic surface to form a metal silicide. Other methods and devices are also disclosed.
    Type: Application
    Filed: December 27, 2007
    Publication date: July 2, 2009
    Inventors: Aaron Frank, David Gonzalez, JR., Mark R. Visokay, Clint Montgomery
  • Publication number: 20090159991
    Abstract: A semiconductor structure and a method of forming the same. The semiconductor structure includes a semiconductor substrate, a gate dielectric layer on top of the semiconductor substrate. The structure also includes a first metal containing region on top of the gate dielectric layer. The structure also includes a second metal containing region on top of the gate dielectric layer wherein the first and second metal containing regions are in direct physical contact with each other. The structure further includes a gate electrode layer on top of both the first and second metal containing regions and the gate electrode layer is in direct physical contact with both the first and second metal containing regions. The structure further includes a patterned photoresist layer on top of the gate electrode layer.
    Type: Application
    Filed: December 20, 2007
    Publication date: June 25, 2009
    Inventors: Bernd Ernst Eduard Kastenmeier, Byoung Hun Lee, Naim Moumen, Theodorus Eduardus Standaert
  • Patent number: 7544559
    Abstract: The invention includes methods of forming PMOS transistors and NMOS transistors. The NMOS transistors can be formed to have a thin silicon-containing material between a pair of metal nitride materials, while the PMOS transistors are formed to have the metal nitride materials directly against one another. The invention also includes constructions which contain an NMOS transistor gate stack having a thin silicon-containing material between a pair of metal nitride materials. The silicon-containing material can, for example, consist of silicon, conductively-doped silicon, or silicon oxide; and can have a thickness of less than or equal to about 30 angstroms.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: June 9, 2009
    Assignee: Micron Technolog, Inc.
    Inventors: D. V. Nirmal Ramaswamy, Venkatesan Ananthan
  • Patent number: 7537990
    Abstract: A method of manufacturing semiconductor devices includes: preparing a semiconductor substrate over which a laminated structure including an insulating layer is formed; forming over the insulating layer a resist mask including a first opening and a second opening which is greater in width than the first opening; first etching using the resist mask to form a hole which corresponds to the first opening and penetrates the insulating layer and to form a first trench which corresponds to the second opening and is shallower than the hole; forming a deposition film so as to fill the hole; second etching to etch back the deposition film so that the insulating layer is exposed in the first trench and that the deposition film remains in the hole; and third etching using the resist mask and the remaining deposition film for masking to remove the insulating layer part exposed in the first trench so as to form a second trench penetrating the insulating layer.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: May 26, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Kazuyoshi Yoshida
  • Publication number: 20090121256
    Abstract: The present invention relates to a semiconductor device which is capable of simultaneously improving a short channel effect of a PMOS and the current of an NMOS and a method for manufacturing the same. The semiconductor device includes first and second gates formed over first and second areas of a semiconductor substrate, respectively; and first and second junction areas formed in a portion of the semiconductor substrate corresponding to both sides of the first gate and a portion of the semiconductor substrate corresponding to both sides of the second gate, and including a projection, respectively, wherein the projection of the first junction area has a height higher than the height of the projection of the second junction area, and the second junction area is formed such that it has a depth from the surface of the semiconductor substrate deeper than the depth of the first junction area.
    Type: Application
    Filed: January 3, 2008
    Publication date: May 14, 2009
    Inventor: Min Jung SHIN
  • Patent number: 7528029
    Abstract: A method is provided for making a semiconductor device. In accordance with the method, a substrate (203) is provided which has first (205) and second (207) gate structures thereon. A first stressor layer (215) is formed over the substrate, and a sacrificial layer (216) is formed over the first stressor layer. A second stressor layer (219) is formed over the sacrificial layer.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 5, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Paul A. Grudowski, Darren V. Goedekc, John J. Hackenberg
  • Publication number: 20090104741
    Abstract: Semiconductor devices are fabricated using a plasma process with a non-silane gas that includes deuterium, and which may result in improved device reliability and/or other improved device operational characteristics. One such method can include forming a gate oxide layer on a transistor region, which is defined on a substrate, and forming a gate electrode on the gate oxide layer. An etch stop layer is formed on the gate oxide layer and the gate electrode. A plasma process is performed on the interface between the gate oxide layer and the substrate using a non-silane treatment gas including deuterium. An interlayer dielectric layer is formed on the etch stop layer. A bottom metal line is formed on the interlayer dielectric layer.
    Type: Application
    Filed: October 9, 2008
    Publication date: April 23, 2009
    Inventors: Dong-Suk Shin, Ho Lee, Tae-Gyun Kim
  • Patent number: 7521313
    Abstract: This invention provides a method of fabricating an active matrix of thin film devices through a pattern reversal self aligned imprint lithography (SAIL) process. The method includes providing a substrate and depositing at least one layer of material upon the substrate. A pattern is then established upon the layer of material, the pattern providing at least one exposed area and at least one covered area of the layer of material. The exposed areas are treated to provide etch resistance to the material and reverse the pattern. Subsequent etching removes the etch susceptible material, the etch resistant material remaining. A thin-film stack is then deposited upon the remaining etch resistant material. These deposited thin-films are then processed in accordance with the desired characteristics of the thin film devices.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 21, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Ping Mei
  • Patent number: 7514309
    Abstract: A semiconductor device is fabricated with a protective liner and/or layer. Well regions and isolation regions are formed within a semiconductor body. A gate dielectric layer is formed over the semiconductor body. A gate electrode layer, such as polysilicon, is formed on the gate dielectric layer. A protective gate liner is formed on the gate electrode layer. A resist mask is formed that defines gate structures. The gate electrode layer is patterned to form the gate structures. Offset spacers are formed on lateral edges of the gate structures and extension regions are then formed in the well regions. Sidewall spacers are then formed on the lateral edges of the gate structures. An NMOS protective region layer is formed that covers the NMOS region of the device. A recess etch is performed within the PMOS region followed by formation of strain inducing recess structures.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: April 7, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Seetharaman Sridhar, Craig Hall, Che-Jen Hu, Antonio Luis Pacheco Rotondaro
  • Publication number: 20090081836
    Abstract: A method of forming crystalline Si:C in source and drain regions is provided. After formation of shallow trench isolation and gate electrodes of field effect transistors, gate spacers are formed on gate electrodes. Preamorphization implantation is performed in the source and drain regions, followed by carbon implantation. The upper portion of the source and drain regions comprises an amorphous mixture of silicon, germanium, and/or carbon. An anti-reflective layer is deposited to enhance the absorption of a laser beam into the silicon substrate. The laser beam is scanned over the silicon substrate including the upper source and drain region with the amorphous mixture. The energy of the laser beam is controlled so that the temperature of the semiconductor substrate is above the melting temperature of the amorphous mixture but below the glass transition temperature of silicon oxide so that structural integrity of the semiconductor structure is preserved.
    Type: Application
    Filed: September 24, 2007
    Publication date: March 26, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yaocheng Liu, Qiqing C. Ouyang, Kathryn T. Schonenberg, Chun-Yung Sung
  • Publication number: 20090045469
    Abstract: A semiconductor device including a silicon substrate; a gate insulating film on the silicon substrate; a gate electrode on the gate insulating film; and source/drain regions formed in the substrate on both sides of the gate electrode, wherein the gate electrode includes a first silicide layered region formed of a silicide of a metal M1; and a second silicide layered region on the first silicide layered region, the second silicide layered region being formed of a silicide of the same metal as the metal M1 and being lower in resistivity than the first silicide layered region.
    Type: Application
    Filed: October 18, 2006
    Publication date: February 19, 2009
    Inventor: Kensuke Takahashi
  • Patent number: 7491595
    Abstract: An integrated circuit (IC) includes a high voltage first-conductivity type field effect transistor (HV-first-conductivity FET) and a high voltage second-type field effect transistor (HV-second-conductivity FET). The HV first-conductivity FET has a second-conductivity-well and a field oxide formed over the second-conductivity-well to define an active area. A first-conductivity-well is formed in at least a portion of the active area, wherein the first-conductivity-well is formed to have the capability to operate as a first-conductivity-drift portion of the HV-first-conductivity FET. The HV second-conductivity FET has a first-conductivity-well and a field oxide formed over the first-conductivity-well to define an active area. A channel stop region is formed in at least a portion of the active area, wherein the channel stop region is formed to have the capability to operate as second-conductivity-drift portions of the HV-second-conductivity FET.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: February 17, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Chin Huang, Jeff Hintzman, James Weaver, Zhizhang Chen
  • Patent number: 7488635
    Abstract: A semiconductor structure includes a substrate having a memory region and a logic region. A first p-type device is formed in the memory region and a second p-type device is formed in the logic region. At least a portion of a semiconductor gate of the first p-type device has a lower p-type dopant concentration than at least a portion of a semiconductor gate of the second p-type device. The semiconductor gates of the first and second p-type devices each have a non-zero p-type dopant concentration.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: February 10, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Brian A. Winstead, James D. Burnett, Sinan Goktepeli
  • Publication number: 20090032840
    Abstract: A semiconductor device and method of manufacture and, more particularly, a semiconductor device having strain films and a method of manufacture. The device includes an embedded SiGeC layer in source and drain regions of an NFET device and an embedded SiGe layer in source and drain regions of a PFET device. The PFET device is subject to compressive strain. The method includes embedding SiGe in source and drain regions of an NFET device and implanting carbon in the embedded SiGe forming an SiGeC layer in the source and drain regions of the NFET device. The SiGeC is melt laser annealed to uniformly distribute the carbon in the SiGeC layer, thereby counteracting a strain generated by the embedded SiGe.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: OLEG GLUSCHENKOV, SAMEER JAIN, YAOCHENG LIU
  • Publication number: 20090014807
    Abstract: Dual stress liners for CMOS applications are provided. The dual stress liners can be formed from silicon nitride having a first portion for inducing a first stress and a second portion for inducing a second stress. An interface between the first and second stress portions is self-aligned and co-planar. To produce a co-planar self-aligned interface, polishing, for example, mechanical chemical polishing is used.
    Type: Application
    Filed: July 13, 2007
    Publication date: January 15, 2009
    Applicants: Chartered Semiconductor Manufacturing, Ltd., Samsung Electronics Co., Ltd, International Business Machines Corporation, Infineon Technologies AG
    Inventors: Teck Jung TANG, Dae Kwon Kang, Sunfei Fang, Tae Hoon Lee, Scott D. Allen, Fang Chen, Frank Huebinger, Jun Jung Kim, Jae Eun Park
  • Publication number: 20080315318
    Abstract: A semiconductor device includes an n-type MIS (Metal Insulator Semiconductor) transistor and a p-type MIS transistor. The n-type MIS transistor includes a first gate insulating film, a first fully silicided (FUSI) gate electrode formed on the first gate insulating film and made of a first metal silicide film, and a first sidewall insulating film. The p-type MIS transistor includes a second gate insulating film, a second fully silicided (FUSI) gate electrode formed on the second gate insulating film and made of a second metal silicide film, and a second sidewall insulating film. A top surface of the first FUSI gate electrode is located lower than a top surface of the second FUSI gate electrode.
    Type: Application
    Filed: February 8, 2008
    Publication date: December 25, 2008
    Inventors: Yoshihiro SATO, Kazuhiko YAMAMOTO
  • Publication number: 20080311714
    Abstract: A complimentary metal oxide semiconductor and a method of manufacturing the same using a self-aligning process to form one of the stacks of device. The method includes depositing an oxide layer over a portion of a metal layer over an nFET region of a CMOS structure and etching the metal layer over a pFET region of the CMOS structure. The method further includes etching at the oxide layer over the nFET region and forming gate structures over the nFET region and pFET region.
    Type: Application
    Filed: June 12, 2007
    Publication date: December 18, 2008
    Applicant: International Business Machines Corporation
    Inventors: Bruce B. Doris, Thomas W. Dyer, Haining S. Yang
  • Publication number: 20080283928
    Abstract: A semiconductor device comprises a first MIS transistor and a second MIS transistor. The first MIS transistor includes a first gate insulating film formed on a first active region, and a first gate electrode formed on the first gate insulating film. The second MIS transistor includes a second gate insulating film formed on a second active region and made of an insulating material different from that of the first gate insulating film, and a second gate electrode formed on the second gate insulating film. Upper regions of the first gate electrode and the second gate electrode are electrically connected to each other on the isolation region located between the first active region and the second active region, and lower regions thereof are separated from each other with a sidewall insulating film made of the same insulating material as that of the first gate insulating film being interposed therebetween.
    Type: Application
    Filed: February 8, 2008
    Publication date: November 20, 2008
    Inventors: Yoshihiro SATO, Hisashi OGAWA
  • Patent number: 7432144
    Abstract: A method of forming a transistor including: forming a gate oxide layer pattern and gate polysilicon layer pattern on a silicon substrate; forming a low energy ion implantation region aligned with both sidewalls of the gate polysilicon layer pattern; forming an amorphous region at a lower part of both sidewalls of the gate polysilicon layer pattern; reducing a channel length by removing the amorphous region so as to form a notch at a lower part of both sidewalls of the gate polysilicon layer pattern; forming a gate spacer at both sidewalls of the gate polysilicon layer pattern; and forming a high energy ion implantation region by high energy ion implantation of source/drain impurities into an entire surface of the silicon substrate including the gate polysilicon layer pattern and gate spacer.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: October 7, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kye-Nam Lee
  • Publication number: 20080237732
    Abstract: A semiconductor device includes a first pMISFET region having an Si channel, a second pMISFET region having an Si channel and an nMISFET region having an Si channel. First SiGe layers which apply first compression strain to the Si channel are embedded and formed in the first pMISFET region to sandwich the Si channel thereof and second SiGe layers which apply second compression strain different from the first compression strain to the Si channel are embedded and formed in the second pMISFET region to sandwich the Si channel thereof.
    Type: Application
    Filed: March 27, 2008
    Publication date: October 2, 2008
    Inventors: Shinji MORI, Tsutomu Sato, Koji Matsuo
  • Publication number: 20080206943
    Abstract: A method of fabricating CMOS transistor is disclosed. Initially, a semiconductor substrate having at least a first active area and a second active area is provided. A high-strained thin film is formed on the semiconductor substrate, the first active area, and the second active area. Thereafter, a mask is formed to cover a part of the high-strained thin film, which is disposed on the first active area. An implantation is performed to implant dopants into the part of the high-strained thin film on the second active area and to modify the stress status thereof. After that, the mask is removed and a rapid thermal annealing process is performed. Then, the high-strained thin film is removed and the method of the present invention is accomplished.
    Type: Application
    Filed: February 26, 2007
    Publication date: August 28, 2008
    Inventors: Jei-Ming Chen, Neng-Kuo Chen, Hsiu-Lien Liao, Teng-Chun Tsai
  • Publication number: 20080191244
    Abstract: A method of fabricating an integrated circuit device includes forming first and second gate patterns on surfaces of a semiconductor substrate in PMOS and NMOS regions, respectively, of the substrate. P-type source/drain regions are epitaxially grown on opposite sides of the first gate pattern in the PMOS region to exert compressive stress on a first channel region therebetween adjacent the first gate pattern. N-type source/drain regions are epitaxially grown on opposite sides of the second gate pattern in the NMOS region to exert tensile stress on a second channel region therebetween adjacent the second gate pattern. Related devices are also discussed.
    Type: Application
    Filed: September 27, 2007
    Publication date: August 14, 2008
    Inventors: Ki chul Kim, Ho Lee, Jung-deog Lee
  • Publication number: 20080179628
    Abstract: By combining a respectively adapted lattice mismatch between a first semiconductor material in a channel region and an embedded second semiconductor material in an source/drain region of a transistor, the strain transfer into the channel region is increased. According to one embodiment of the invention, the lattice mismatch may be adapted by a biaxial strain in the first semiconductor material. According to one embodiment, the lattice mismatch may be adjusted by a biaxial strain in the first semiconductor material. In particular, the strain transfer of strain sources including the embedded second semiconductor material as well as a strained overlayer is increased. According to one illustrative embodiment, regions of different biaxial strain may be provided for different transistor types.
    Type: Application
    Filed: August 22, 2007
    Publication date: July 31, 2008
    Inventors: Andy Wei, Thorsten Kammler, Roman Boschke, Manfred Horstmann
  • Publication number: 20080179627
    Abstract: NMOS and PMOS device structures with separately strained channel regions and methods of their fabrication are disclosed. The source and the drain of the NMOS device is epitaxially grown of a material which causes a shift in the strain of the NMOS device channel in the tensile direction. While, the source and the drain of the PMOS device is epitaxially grown of a material which causes a shift in the strain of the PMOS device channel in the compressive direction.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Inventors: Meikei Ieong, Xiao Hu Liu, Qiqing Christine Ouyang, Siddhartha Panda, Haizhou Yin
  • Patent number: 7399669
    Abstract: Semiconductor devices and methods for fabricating the same are disclosed in which an amorphous layer is formed in an interface between a device isolation layer and a source or drain region to stably thin a silicide layer formed in the interface. A leakage current of the silicide layer formed in the interface between the device isolation layer and the source/drain region is reduced.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: July 15, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyuk Park
  • Publication number: 20080166841
    Abstract: The present invention provides a method of fabricating strained silicon channel MOS transistor, comprising providing a substrate, forming at least a gate structure on the substrate, forming a mask layer on the gate structure, performing an etching process to form two recesses corresponding to the gate structure within the substrate, performing a selective epitaxial growth (SEG) process to form an epitaxial layer in the recesses respectively, and performing an ion implantation process for the epitaxial layers to form a source/drain region.
    Type: Application
    Filed: January 10, 2007
    Publication date: July 10, 2008
    Inventor: Chao-Ching Hsieh
  • Patent number: 7381610
    Abstract: A structure and a method for forming the same. The structure includes (a) a semiconductor layer including a channel region disposed between first and second S/D regions; (b) a gate dielectric region on the channel region; (c) a gate region on the gate dielectric region and electrically insulated from the channel region by the gate dielectric region; (d) a protection umbrella region on the gate region, wherein the protection umbrella region comprises a first dielectric material, and wherein the gate region is completely in a shadow of the protection umbrella region; and (e) a filled contact hole (i) directly above and electrically connected to the second S/D region and (ii) aligned with an edge of the protection umbrella region, wherein the contact hole is physically isolated from the gate region by an inter-level dielectric (ILD) layer which comprises a second dielectric material different from the first dielectric material.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III, William R. Tonti
  • Patent number: 7382054
    Abstract: The present invention relates generally to semiconductors, and more specifically to semiconductor memory device structures and an improved fabrication process for making the same. The improved fabrication process allows the self-aligned contacts and local interconnects to the processed simultaneously. The process allows the minimal distance requirement between the self-aligned contacts and the local interconnects to be widened, which makes the patterning of self-aligned contacts and local interconnects easier. The widened minimal distance requirement also allows further memory cell shrinkage. The improved structures of self-aligned contacts and local interconnects also have excellent isolation characteristic.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: June 3, 2008
    Assignee: Macronix International Co., Ltd.
    Inventors: Tuung Luoh, Ling-Wuu Yang, Kuang-Chao Chen
  • Patent number: 7371629
    Abstract: A method is provided for improving Idsat in NMOS and PMOS transistors. A silicon nitride etch stop layer is deposited by a PECVD technique on STI and silicide regions and on sidewall spacers during a MOSFET manufacturing scheme. A dielectric layer is formed on the nitride and then contact holes are fabricated through the dielectric layer and nitride layer to silicide regions and are filled with a metal. For NMOS transistors, silane and NH3 flow rates and a 400° C. temperature are critical in improving NMOS short channel Idsat. Hydrogen content in the nitride is increased by higher NH3 and SiH4 flow rates but does not significantly degrade HCE and Vt. With PMOS transistors, deposition temperature is increased to 550° C. to reduce hydrogen content and improve HCE and Vt stability.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: May 13, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu-Yun Fu, Chi-Hsun Hsieh, Yi-Ming Sheu, Syun-Ming Jang
  • Patent number: 7364963
    Abstract: A method for fabricating a semiconductor device is provided. The method includes: implanting impurities onto a substrate by performing an ion implantation process; recessing portions of the substrate to form a plurality of trenches; performing a first thermal process to form junction regions between the trenches in the substrate by diffusing the impurities and simultaneously to form a gate oxide layer on the substrate and on the junction regions; forming a polysilicon layer on the gate oxide layer; sequentially etching the polysilicon layer and the gate oxide layer to form a gate structure, and to form first spacers on lateral walls of the junction regions; forming second spacers on lateral walls of the first spacers and the gate structure; and forming a metal silicide layer on top portions of the junction regions and the gate structure.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: April 29, 2008
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Yong-Sik Jeong
  • Publication number: 20080096337
    Abstract: The invention provides, in one aspect, a method of forming a semiconductor device. The method includes forming a gate dielectric layer and a gate electrode layer over a substrate. A portion of the gate dielectric layer and gate electrode layer is etched to form a plurality of gate electrodes. A first dielectric material is formed over the substrate and the gate electrodes. A spacing layer comprising an organic material is deposited over the first dielectric material, and a portion thereof is removed to expose horizontal portions of the first dielectric material and form organic spacers on sidewalls of the gate electrodes. A first dopant is implanted through the first dielectric material into the substrate, after which the organic spacers are removed. An insulating layer is formed over the gate electrodes, and interconnects are fabricated within the insulating layer to connect the gate electrodes.
    Type: Application
    Filed: October 6, 2006
    Publication date: April 24, 2008
    Applicant: Texas Instruments Incorporated
    Inventor: Howard Tigelaar
  • Publication number: 20080090349
    Abstract: By omitting a growth mask or by omitting lithographical patterning processes for forming growth masks, a significant reduction in process complexity may be obtained for the formation of different strained semiconductor materials in different transistor types. Moreover, the formation of individually positioned semiconductor materials in different transistors may be accomplished on the basis of a differential disposable spacer approach, thereby combining high efficiency with low process complexity even for highly advanced SOI transistor devices.
    Type: Application
    Filed: November 21, 2006
    Publication date: April 17, 2008
    Inventors: Jan Hoentschel, Andy Wei, Manfred Horstmann, Thorsten Kammler
  • Patent number: 7323381
    Abstract: A structure of a MIS transistor for realizing a CMOS circuit capable of simultaneously achieving the high ON current and the low power consumption is provided. Each of the gate insulators of an n channel MIS transistor and a p channel MIS transistor is composed of a hafnium oxide (HfO2) film. Also, the gate electrode of the n channel MIS transistor is composed of an Ni (nickel) silicide film, and the gate electrode of the p channel MIS transistor is composed of a Pt (platinum) film. In this structure, Fermi level pinning of the gate electrodes can be prevented. Therefore, the increase of the threshold voltage of the n channel MIS transistor and the p channel MIS transistor can be inhibited.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: January 29, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Masaru Kadoshima, Toshihide Nabatame
  • Patent number: 7297588
    Abstract: One or more impurities may be incorporated within a metal-containing layer of a metal-containing gate electrode to modify the work function of the metal-containing gate electrode of a transistor can affect the threshold voltage of the transistor. In one embodiment, the impurity can be used in a p-channel transistor to allow the work function of a metal-containing gate electrode to be closer to the valence band for silicon. In another embodiment, the impurity can be used in an n-channel transistor to allow the work function of a metal-containing gate electrode to be closer to the conduction band for silicon. In a particular embodiment, a boron-containing species is implanted into a metal-containing layer within the metal-containing gate electrode within a p-channel transistor, so that the metal-containing gate electrode has a work function closer to the valence band for silicon as compared to the metal-containing gate electrode without the boron-containing species.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: November 20, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, David C. Gilmer, Philip J. Tobin
  • Patent number: 7229871
    Abstract: A method for manufacturing an integrated circuit 10 having transistors 20, 30 of two threshold voltages where protected transistor stacks 270 have a gate protection layer 220 that are formed with the use of a single additional mask step. Also, an integrated circuit 10 having at least one polysilicon gate transistor 20 and at least one FUSI metal gate transistor 30.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: June 12, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Shaofeng Yu, Benjamin P. McKee
  • Patent number: 7223650
    Abstract: Embodiments of the invention include a circuit with a transistor having a self-aligned gate. Insulating isolation structures may be formed, self-aligned to diffusions. The gate may then be formed self-aligned to the insulating isolation structures.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventor: Peter Chang
  • Patent number: 7220632
    Abstract: An integration process where a first semiconductor protective layer and a second semiconductor protective layer are formed to protect the first and second semiconductor materials, respectfully, during processing to form an optical device, such as a photodetector, and a transistor on the same semiconductor. The first semiconductor protective layer protects the semiconductor substrate during formation of the second semiconductor layer, and the second semiconductor layer protects the second semiconductor material during subsequent processing of the first semiconductor. In one embodiment, the first semiconductor includes silicon and the second semiconductor material includes germanium.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: May 22, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Robert E. Jones
  • Patent number: 7148097
    Abstract: A method for manufacturing an integrated circuit 10 having transistors 20, 30 of two threshold voltages where protected transistor stacks 270 have a gate protection layer 220 that are formed with the use of a single additional mask step. Also, an integrated circuit 10 having at least one polysilicon gate transistor 20 and at least one FUSI metal gate transistor 30.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: December 12, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Shaofeng Yu, Benjamin P. McKee