Tunneling Insulator Patents (Class 438/263)
  • Patent number: 7374998
    Abstract: A device and method for selective placement of charge into a gate stack includes forming gate stacks including a gate dielectric adjacent to a transistor channel and a gate conductor and forming doped regions for transistor operation. A layer rich in a passivating element is deposited over the doped regions and the gate stack, and the layer rich the passivating element is removed from selected transistors. The layer rich in the passivating element is than annealed to drive-in the passivating element to increase a concentration of charge at or near transistor channels on transistors where the layer rich in the passivating element is present. The layer rich in the passivating element is removed.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: May 20, 2008
    Assignee: International Business Machines Corporation
    Inventors: John Michael Hergenrother, Zhibin Ren, Dinkar Virendra Singh, Jeffrey William Sleight
  • Patent number: 7371645
    Abstract: Fabrication of recessed channel array transistors (RCAT) with a corner gate device includes forming pockets between a semiconductor fin that includes a gate groove and neighboring shallow trench isolations that extend along longs sides of the semiconductor fin. A protection liner covers the semiconductor fin and the trench isolations in a bottom portion of the gate groove and the pockets. An insulator collar is formed in the exposed upper sections of the gate groove and the pockets, wherein a lower edge of the insulator collar corresponds to a lower edge of source/drain regions formed within the semiconductor fin. The protection liner is removed. The bottom portion of the gate groove and the pockets are covered with a gate dielectric and a buried gate conductor layer. The protection liner avoids residuals of polycrystalline silicon between the active area in the semiconductor fin and the insulator collar.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: May 13, 2008
    Assignee: Infineon Technologies AG
    Inventors: Klaus Muemmler, Peter Baars, Stefan Tegen
  • Patent number: 7368350
    Abstract: A method for fabricating stacked non-volatile memory cells and non-volatile memory cell arrays are disclosed. A semiconductor wafer is provided having a charge-trapping layer and a conductive layer deposited on the surface of the semiconductor wafer. Using a mask layer on top of the conductive layer, contact holes are formed into which a contact fill material is deposited. A further conductive layer is deposited on the surface of the semiconductor wafer and is patterned so as to form word lines. The contact fill material is connected to a contact plug using the contact holes with the contact fill material as a landing pad.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Dominik Olligs, Torsten Mueller, Karl-Heinz Kuesters, Veronika Polei, Thomas Mikolajick, Josef Willer
  • Patent number: 7361548
    Abstract: Methods for forming a capacitor using an atomic layer deposition process include providing a reactant including an aluminum precursor onto a substrate to chemisorb a portion of the reactant to a surface of the substrate. The substrate has an underlying structure including a lower electrode. An ammonia (NH3) plasma is provided onto the substrate to form a dielectric layer including aluminum nitride on the substrate including the lower electrode. An upper electrode is formed on the dielectric layer. A second dielectric layer may be provided oil the first dielectric layer.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Soon Lim, Sung-Tae Kim, Young-Sun Kim, Young-Geun Park, Suk-Jin Chung, Seung-Hwan Lee
  • Patent number: 7355239
    Abstract: Improved methods of manufacturing semiconductor devices are provided to reduce dielectric loss in isolation trenches of the devices. In one example, a method of manufacturing a semiconductor device includes forming a plurality of shallow trench isolation (STI) trenches in a substrate. A tunnel oxide layer, a first conductive layer, a gate dielectric layer, and a second conductive layer are formed above the substrate. The layers are etched to delineate a plurality of stacked gate structures. In particular, the etching may include: performing a first etch of the second conductive layer, wherein at least a portion of the second conductive layer above the STI trenches remains following the first etch; and performing a second etch of the second conductive layer, wherein the remaining portion of the second conductive layer above the STI trenches and portions of the gate dielectric layer above the STI trenches are completely removed by the second etch.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 8, 2008
    Assignee: ProMOS Technologies Pte. Ltd.
    Inventors: Barbara Haselden, Yi Ding
  • Patent number: 7348241
    Abstract: Provided are a cell structure of an EPROM device and a method for fabricating the same. The cell structure includes a gate stack, which includes a first floating gate, an insulating pattern including a nitride layer, and a control gate that are sequentially stacked on a semiconductor substrate, and includes a window for exposing the top surface or both sidewalls of the first floating gate on both sides of the control gate, so that charges of the first floating gate can be erased by ultraviolet rays. The cell structure further includes a floating gate transistor, which includes a gate insulating layer formed on the semiconductor substrate, a second floating gate that is formed on the gate insulating layer and is connected to the first floating gate in the gate stack, and a source/drain that is formed in the semiconductor substrate so as to be aligned to the second floating gate. In the cell structure, the window is formed on the top surface or both sidewalls of the first floating gate of the gate stack.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-hyung Lee, Byung-sun Kim, Tae-jung Lee
  • Patent number: 7332408
    Abstract: Methods and apparatus are provided. A first dielectric plug is formed in a portion of a trench that extends into a substrate of a memory device so that an upper surface of the first dielectric plug is recessed below an upper surface of the substrate. The first dielectric plug has a layer of a first dielectric material and a layer of a second dielectric material formed on the layer of the first dielectric material. A second dielectric plug of a third dielectric material is formed on the upper surface of the first dielectric plug.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: February 19, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael Violette
  • Patent number: 7319058
    Abstract: A fabrication method for a non-volatile memory is provided. To fabricate the non-volatile memory, a plurality of first trenches and second trenches are formed in a substrate, wherein the second trenches are disposed above the first trenches and cross over the first trenches. Then, a tunneling layer and a charge storage layer are sequentially formed on both sidewalls of each second trench. An isolation layer is filled into the first trench. Furthermore, a charge barrier layer is formed on the sidewall of the second trench, and a gate dielectric layer is formed at the bottom of the second trench. A control gate layer is filled into the second trench. Finally, two first doping regions are formed in the substrate at both sides of the control gate layer.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: January 15, 2008
    Assignee: ProMOS Technologies Inc.
    Inventor: Ting-Sing Wang
  • Patent number: 7300844
    Abstract: A method of forming a gate of a flash memory device, including the steps of forming a tunnel oxide film and a first polysilicon layer in an active region of a semiconductor substrate, an isolation film in the field region, a dielectric layer, a second polysilicon layer, a metal silicide film, and a hard mask film on the structure, etching the hard mask film, the metal silicide film, and a given region of the second polysilicon layer to expose the dielectric layer, stripping a top surface of the exposed dielectric layer of the active region and the field region, a part of the first polysilicon layer of the active region to form dielectric layer horns, the first polysilicon layer and a part of the dielectric layer horns of the active region, and the first polysilicon layer and the dielectric layer horns of the active region.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 27, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7271437
    Abstract: A non-volatile memory is described having memory cells with a gate dielectric. The gate dielectric is a multilayer charge trapping dielectric between a control gate and a channel region of a transistor to trap positively charged holes. The multilayer charge trapping dielectric comprises two layers of dielectric having different band gaps such that holes are trapped at a barrier between the two layers.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7250338
    Abstract: Devices and methods are provided with respect to a gate stack for a nonvolatile structure. According to one aspect, a gate stack is provided. One embodiment of the gate stack includes a tunnel medium, a high K charge blocking and charge storing medium, and an injector medium. The high K charge blocking and charge storing medium is disposed on the tunnel medium. The injector medium is operably disposed with respect to the tunnel medium and the high K charge blocking and charge storing medium to provide charge transport by enhanced tunneling. According to one embodiment, the injector medium is disposed on the high K charge blocking and charge storing medium. According to one embodiment, the tunnel medium is disposed on the injector medium. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: July 31, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Publication number: 20070152264
    Abstract: A nonvolatile (e.g., flash) memory device includes a substrate having a plurality of isolation areas and active areas; a trench formed on the isolation area; a first electrode layer formed on an inner wall of the trench; a first gate oxide layer formed between the inner wall of the trench and the first electrode layer; a junction area formed on the active area; a second gate oxide layer formed on the entire surface of the substrate including the first electrode layer, the first gate oxide layer, the trench and the junction area; a tunnel oxide layer formed on a part of the second gate oxide layer corresponding to the active area; and a second electrode layer formed on the active area and in the trench.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 5, 2007
    Inventor: Heong Jin Kim
  • Patent number: 7183143
    Abstract: A method for forming a nitrided tunnel oxide layer is described. A silicon oxide layer as a tunnel oxide layer is formed on a semiconductor substrate, and a plasma nitridation process is performed to implant nitrogen atoms into the silicon oxide layer. A thermal drive-in process is then performed to diffuse the implanted nitrogen atoms across the silicon oxide layer.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Tzu-Yu Wang
  • Patent number: 7183157
    Abstract: Nonvolatile memory devices and methods for fabricating the same are provided. The device includes first and second base patterns disposed under floating and selection gates, respectively, at an active region. A channel region is formed in the active region between the first and second base patterns, and source and drain regions are formed in the active region adjacent to the first and second base patterns, respectively. The method includes forming first and second base patterns on a semiconductor substrate to be separated from each other by a predetermined space. A channel region is formed in the semiconductor substrate between the first and second base patterns. Source and drain regions are formed in the semiconductor substrate adjacent to the reverse side of the channel region on the basis of the first and second base patterns, respectively. A tunnel oxide layer is formed on a predetermined region of the channel region. A memory gate is formed to cover the first base pattern and the tunnel oxide layer.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Kwang Yoo, Jeong-Uk Han
  • Patent number: 7183158
    Abstract: A method of fabricating a nonvolatile memory is provided. The method includes forming a bottom dielectric layer, a charge trapping layer, a top dielectric layer and a conductive layer on the substrate sequentially. Portions of conductive layer, top dielectric layer, charge trapping layer and bottom dielectric layer are removed to form several trenches. An insulation layer is formed in the trenches to form a plurality of isolation structures. A plurality of word lines are formed on the conductive layer and the isolation structures. By using the word lines as a mask, portions of bottom dielectric layer, charge trapping layer, top dielectric layer, conductive layer and isolation structures are removed to form a plurality of devices. The bottom oxide layer has different thickness on the substrate so that these devices can be provided with different performance. These devices serve as memory cells with different character or devices in periphery region.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: February 27, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Chien-Lung Chu, Jen-Chi Chuang
  • Patent number: 7179709
    Abstract: in methods of fabricating a non-volatile memory device having a local silicon-oxide-nitride-oxide-silicon (SONOS) gate structure, a semiconductor substrate having a cell transistor area, a high voltage transistor area, and a low voltage transistor area, is prepared. At least one memory storage pattern defining a cell gate insulating area on the semiconductor substrate within the cell transistor area is formed. An oxidation barrier layer is formed on the semiconductor substrate within the cell gate insulating area. A lower gate insulating layer is formed on the semiconductor substrate within the high voltage transistor area. A conformal upper insulating layer is formed on the memory storage pattern, the oxidation barrier layer, and the lower gate insulating layer. A low voltage gate insulating layer having a thickness which is less than a combined thickness of the upper insulating layer and the lower gate insulating layer is formed on the semiconductor substrate within the low voltage transistor area.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Sang-Su Kim, Geum-Jong Bae, In-Wook Cho, Jin-Hee Kim
  • Patent number: 7166510
    Abstract: A method for manufacturing a flash memory device including the steps of forming a gate oxide film for high voltage on the whole surface of a semiconductor substrate on which a cell region, a low voltage region and a high voltage region have been formed, etching the gate oxide film for high voltage formed in the cell region and the low voltage region by a predetermined depth, by forming photoresist patterns to expose the gate oxide film for high voltage formed in the cell region and the low voltage region, and performing a wet etching process using the photoresist patterns as an etching mask, removing the entire gate oxide film for high voltage formed in the cell region and the low voltage region, by performing a cleaning process on the resulting structure, removing the photoresist patterns, forming a floating gate electrode and a control gate electrode, by sequentially forming a tunnel oxide film, a first polysilicon film, a second polysilicon film, a dielectric film, a third polysilicon film and a metal sili
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: January 23, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Bok Lee
  • Patent number: 7125769
    Abstract: A method of fabricating a flash memory devices disclosed wherein, upon formation of sidewall oxide films, a regrown thickness of a screen oxide film is controlled. The width of an element isolation film is reduced by means of an etch process for removing the re-growth oxide film. This allows a floating gate space to be easily secured, and a thickness of the sidewall oxide films is reduced by means of a liner nitride film pre-treatment cleaning process. It is thus possible to secure the trench space, which facilitates gap-filling.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: October 24, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cha Deok Dong
  • Patent number: 7125772
    Abstract: A nonvolatile memory cell that is highly scalable includes a cell formed in a triple well. A pair of sources for a pair of cells on adjacent word lines each acts as the emitter of a lateral bipolar transistor. The lateral bipolar transistor of one cell operates as a charge injector for the other cell. The charge injector provides carriers for substrate hot carrier injection onto a floating gate.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: October 24, 2006
    Assignee: Altera Corporation
    Inventor: Ting-Wah Wong
  • Patent number: 7118967
    Abstract: A method of protecting a charge trapping dielectric flash memory cell from UV-induced charging, including fabricating a charge trapping dielectric flash memory cell including a charge trapping dielectric charge storage layer in a semiconductor device; and during processing steps subsequent to formation of the charge trapping dielectric charge storage layer, protecting the charge trapping dielectric flash memory cell from exposure to a level of UV radiation sufficient to deposit a non-erasable charge in the charge trapping dielectric flash memory cell. In one embodiment, the step of protecting is carried out by selecting processes in BEOL fabrication which do not include use, generation or exposure of the semiconductor device to a level of UV radiation sufficient to deposit the non-erasable charge.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: October 10, 2006
    Assignee: Spansion, LLC
    Inventors: Minh V. Ngo, Ning Cheng, Jeff P. Erhardt, Clarence B. Ferguson, Cyrus Tabery, John Caffall, Tyagamohan Gottipati, Dawn Hopper
  • Patent number: 7115471
    Abstract: There is provided a method of manufacturing a semiconductor device including a nonvolatile memory including forming an element isolation area surrounding an element area in a semiconductor substrate doped with a first type conductive impurity, forming a gate insulating film on the element area, forming selectively a cap film on the gate insulating film, burying selectively with a mask film surrounding the cap film on the gate insulating film, forming a tunnel window by removing selectively the cap film, forming an impurity diffusion layer in a surface region of the semiconductor substrate underneath the gate insulating film by introducing a second type conductive impurity using the mask film as a mask, removing the gate insulating film in the tunnel window, forming a tunnel insulating film in the tunnel window, forming a floating gate electrode film, an inter-gate electrode film, and a control gate electrode film on the tunnel insulating film, and forming a source-drain in the semiconductor substrate to inter
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: October 3, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuyoshi Shinada, Akira Kimitsuka
  • Patent number: 7091550
    Abstract: A non-volatile memory device and method of manufacturing the same is provided. A substrate is provided and then a trench is formed in the substrate. Thereafter, a bottom oxide layer, a charge-trapping layer and a top oxide layer are sequentially formed over the substrate and the surface of the trench. A conductive layer is formed over the top oxide layer filling the trench. The conductive layer is patterned to form a gate over the trench. The top oxide layer, the charge-trapping layer and the bottom oxide layer outside the gate are removed. A source/drain doping process is carried out. Because the non-volatile memory device is manufactured within the trench, storage efficiency of the device is improved through an increase in the coupling ratio. Furthermore, more charges can be stored by increasing the depth of the trench.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: August 15, 2006
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Hann-Jye Hsu, Ko-Hsing Chang
  • Patent number: 7084030
    Abstract: A non-volatile memory device includes a cell region having a memory gate pattern with a charge storage layer, and a peripheral region having a high-voltage-type gate pattern, a low-voltage-type gate pattern, and a resistor pattern. To fabricate the above memory device, a device isolation layer is formed in a substrate. Gate insulating layers having difference thickness are formed in low-and high-voltage regions of the peripheral region, respectively. A first conductive layer is formed over substantially the entire surface of a gate insulating layer in the peripheral region. A triple layer including a tunneling insulating layer, a charge storage layer, and a blocking insulating layer and a second conductive layer are sequentially formed over substantially the entire surface of the substrate including the first conductive layer.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: August 1, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyun Lee, Jung-Dal Choi, Wang-Chul Shin
  • Patent number: 7074674
    Abstract: A method for manufacturing an OTEPROM is described. A tunneling oxide layer, a first conductive layer, a first patterned mask layer are formed on a substrate. A trench is formed in the substrate. An insulating layer is formed to fill the trench. A portion of the first conductive layer destined to form the floating gate is exposed and then a cap layer is formed thereon. The first patterned mask layer is removed and then a second conductive layer and a second patterned mask layer are formed over the substrate. A word line and a floating gate are formed using the second patterned mask layer and the cap layer as a mask. The second patterned mask layer is removed and then source/drain regions are formed in the substrate on both sides of the word line and the floating gate and between the word line and the floating gate.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: July 11, 2006
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Tung-Po Chen, Tung-Ming Lai, Chen-Chiu Hsue
  • Patent number: 7075829
    Abstract: Structures and methods for programmable memory address and decode circuits with low tunnel barrier interpoly insulators are provided. The decoder for a memory device includes a number of address lines and a number of output lines wherein the address lines and the output lines form an array. A number of logic cells are formed at the intersections of output lines and address lines. Each of the logic cells includes a floating gate transistor which includes a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposes the channel region and is separated therefrom by a gate oxide. A control gate opposing the floating gate. The control gate is separated from the floating gate by a low tunnel barrier intergate insulator. The low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of PbO, Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5 and/or a Perovskite oxide tunnel barrier.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: July 11, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7071060
    Abstract: Novel memory cells utilize source-side injection, allowing very small programming currents. If desired, to-be-programmed cells are programmed simultaneously while not requiring an unacceptably large programming current for any given programming operation. In one embodiment, memory arrays are organized in sectors with each sector being formed of a single column or a group of columns having their control gates connected in common. In one embodiment, a high speed shift register is used in place of a row decoder to serially shift in data for the word lines, with all data for each word line of a sector being contained in the shift register on completion of its serial loading. In one embodiment, speed is improved by utilizing a parallel loaded buffer register which receives parallel data from the high speed shift register and holds that data during the write operation, allowing the shift register to receive serial loaded data during the write operation for use in a subsequent write operation.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: July 4, 2006
    Assignee: SanDisk Corporation
    Inventors: Daniel C. Guterman, Gheorghe Samachisa, Yupin Kawing Fong, Eliyahou Harari
  • Patent number: 7071050
    Abstract: A semiconductor memory device having nonvolatile memory cells each formed of a MISFET having both a floating gate and a control gate and first and second semiconductor regions serving as the source and drain regions, respectively. In accordance with the method of manufacture thereof, an impurity, for example, arsenic, is introduced to form both the first and second semiconductor regions but with the second semiconductor region having a lower dose thereof so that the first semiconductor region formed attains a junction depth greater than that of the second semiconductor region, and both the first and second semiconductor regions have portions thereof extending under the floating gate electrode. The device and method therefor further feature the formation of MISFETs of peripheral circuits.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: July 4, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Kazuhiro Komori, Toshiaki Nishimoto, Satoshi Meguro, Hitoshi Kume, Yoshiaki Kamigaki
  • Patent number: 7060560
    Abstract: A method of manufacturing a non-volatile memory cell includes forming a first dielectric layer on a substrate. A second dielectric layer having a trench is formed on the first dielectric layer. Thereafter, a pair of charge storage spacers is formed on sidewalls of the trench. A third dielectric layer is then formed over the substrate to cover the first dielectric layer, the charge storage spacers and second dielectric layer. A conductive structure is formed on the third dielectric layer over the charge storage spacers. Subsequently, portions of the third dielectric layer, the second dielectric layer and first dielectric layer not covered by the conductive structure are removed. Ultimately, source/drain regions are formed in the substrate at each side of the conductive structure.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: June 13, 2006
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Sheng Wu, Da Sung
  • Patent number: 7060554
    Abstract: A Si-rich silicon oxide layer having reduced UV transmission is deposited by PECVD, on an interlayer dielectric, prior to metallization, thereby reducing Vt. Embodiments include depositing a UV opaque Si-rich silicon oxide layer having an R.I. of 1.7 to 2.0.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: June 13, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Mark Ramsbey, Tazrien Kamal, Pei Yuan Gao
  • Patent number: 7056789
    Abstract: The present invention relates to a semiconductor substrate production method, field effect transistor production method, semiconductor substrate and field effect transistor which, together with having low penetrating dislocation density and low surface roughness, prevent worsening of surface and interface roughness during heat treatment of a device production process and so forth. A production method of a semiconductor substrate W, in which SiGe layers 2 and 3 are formed on an Si substrate 1, is comprised of a heat treatment step in which heat treatment is performed either during or after the formation of the SiGe layers by epitaxial growth, at a temperature that exceeds the temperature of the epitaxial growth, and a polishing step in which irregularities in the surface formed during the heat treatment are removed by polishing following formation of the SiGe layers.
    Type: Grant
    Filed: August 23, 2002
    Date of Patent: June 6, 2006
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Ichiro Shiono, Kazuki Mizushima, Kenji Yamaguchi
  • Patent number: 7052954
    Abstract: A gate electrode <13> is provided to fill up a trench <300> while covering its opening. Assuming that WG represents the diameter (sectional width) of a head portion of the gate electrode <13> located upward beyond a P-type base layer <4> and an N+-type emitter diffusion layer <51>, WT represents the diameter (sectional width) of an inner wall of a linearly extending portion of the trench <300> and WC represents the distance between the boundary (the inner wall of the trench 300) between a gate oxide film <11> and the P-type base layer <4> and an end surface of the gate electrode <13> located upward beyond the trench <300> in a section of the trench <300>, relation of either WG?1.3·WT or WC?0.2 ?m holds between these dimensions.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: May 30, 2006
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Katsumi Nakamura
  • Patent number: 7041554
    Abstract: Flash memory devices are provided including an integrated circuit substrate and a stack gate structure on the integrated circuit substrate. A trench isolation region is provided on the integrated circuit substrate adjacent the stack gate structure. A portion of the stack gate structure adjacent a trench sidewall of the trench isolation region may include a first nitrogen doped layer.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyun Lee, Dong-Gun Park
  • Patent number: 7018898
    Abstract: The invention relates to a nonvolatile two-transistor semiconductor memory cell and an associated fabrication method, source and drain regions (2) for a selection transistor (AT) and a memory transistor (ST) being formed in a substrate (1). The memory transistor (ST) has a first insulation layer (3), a charge storage layer (4), a second insulation layer (5) and a memory transistor control layer (6), while the selection transistor (AT) has a first insulation layer (3?) and a selection transistor control layer (4*). By using different materials for the charge storage layer (4) and the selection transistor control layer (4*), it is possible to significantly improve the charge retention properties of the memory cell by adapting the substrate doping with electrical properties remaining the same.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: March 28, 2006
    Assignee: Infineon Technologies AG
    Inventors: Franz Schuler, Georg Tempel
  • Patent number: 6995063
    Abstract: A method of fabricating a memory cell in a semiconductor device, by which a data storage function is dichotomized in a manner of controlling a quantity of electrons injected in each floating gate according to drain and control gate voltages applied thereto. The method includes the steps of defining source, first floating gate, control gate, second floating gate, and drain areas on a substrate to have the control gate area lie between the first and second floating gate areas, forming source and drain regions on the source and drain areas of the substrate, respectively, forming a gate oxide layer on the semiconductor substrate, and reducing the gate oxide layer on the first and second floating gate areas in thickness.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 7, 2006
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Tae Hee Park
  • Patent number: 6989319
    Abstract: Methods and arrangements are provided for significantly reducing electron trapping in semiconductor devices having a polysilicon feature and an overlying dielectric layer. The methods and arrangements employ a nitrogen-rich region within the polysilicon feature near the interface to the overlying dielectric layer. The methods include selectively implanting nitrogen ions through at least a portion of the overlying dielectric layer and into the polysilicon feature to form an initial nitrogen concentration profile within the polysilicon feature. Next, the temperature within the polysilicon feature is raised to an adequately high temperature, for example using rapid thermal anneal (RTA) techniques, which cause the initial nitrogen concentration profile to change due to the migration of the majority of the nitrogen towards either the interface with the overlying dielectric layer or the interface with an underlying layer.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: January 24, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark Ramsbey, Sameer Haddad, Vei-Han Chan, Yu Sun, Chi Chang
  • Patent number: 6979618
    Abstract: A method of manufacturing a NAND flash device which can improve uniformity of disturb fail characteristics by performing an annealing process after an ion implantation process for forming a P well, reduce a fail bit count by performing an annealing process after an ion implantation process for controlling a threshold voltage and before a process for forming a high voltage gate oxide film, and prevent disturb fail by omitting an STI ion implantation process in a cell region.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: December 27, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Ki Shin
  • Patent number: 6977201
    Abstract: A method for fabricating a flash memory includes forming a tunnel oxide layer by depositing a material with a conduction band energy level lower than that of SiO2 on a semiconductor substrate; forming a floating gate by depositing polysilicon on the tunnel oxide layer; forming an intergate dielectric layer on the floating gate; forming a control gate on the intergate dielectric layer; forming a gate electrode by patterning the tunnel oxide layer, the floating gate, the intergate dielectric layer and the control gate; and forming a source/drain region by implanting impurities into the substrate using the gate electrode as a mask.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: December 20, 2005
    Assignee: Dongbuanam Semiconductor Inc.
    Inventor: Jin Hyo Jung
  • Patent number: 6972226
    Abstract: In a memory cell array comprising charge-trapping memory cells, local interconnects along the direction of the wordlines for connecting source/drain regions of adjacent memory cells to bitlines are formed by selective deposition of silicon or polysilicon bridges at sidewalls of the semiconductor material within upper recesses in the dielectric material of shallow trench isolations running across the wordlines.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: December 6, 2005
    Assignee: Infineon Technologies AG
    Inventors: Joachim Deppe, Josef Willer
  • Patent number: 6969645
    Abstract: A method of manufacturing a semiconductor device comprising a non-volatile memory with memory cells (Mij) including a select transistor (T1) with a select gate (1) and including a memory transistor (T2) with a floating gate (2) and a control gate (3). In a semiconductor body (10), active semiconductor regions are formed which are mutually insulated by field oxide regions (12). Next, the surface (11) is provided with a gate oxide layer (14) and a first layer of a conductive material wherein the select gate (1) is etched. Subsequently, the walls of the select gate extending perpendicularly to the surface are provided with an isolating material (17). The gate oxide next to the select gate is replaced by a layer of tunnel oxide (18). Next, a second layer of a conductive material (21), an interlayer dielectric (25) and a third layer of a conductive material (26) are deposited. The control gate (3) extending above and next to the select gate is formed in the third layer.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: November 29, 2005
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Jurriaan Schmitz, Franciscus Petrus Widdershoven, Michiel Slotboom
  • Patent number: 6960501
    Abstract: A semiconductor memory device having nonvolatile memory cells each formed of a MISFET having both a floating gate and a control gate and first and second semiconductor regions serving as the source and drain regions, respectively. In accordance with the method of manufacture thereof, an impurity, for example, arsenic, is introduced to form both the first and second semiconductor regions but with the second semiconductor region having a lower dose thereof so that the first semiconductor region formed attains a junction depth greater than that of the second semiconductor region, and both the first and second semiconductor regions have portions thereof extending under the floating gate electrode. The device and method therefor further feature the formation of MISFETs of peripheral circuits.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: November 1, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Kazuhiro Komori, Toshiaki Nishimoto, Satoshi Meguro, Hitoshi Kume, Yoshiaki Kamigaki
  • Patent number: 6940121
    Abstract: A semiconductor memory cell includes a semiconductor substrate that defines a trench having trench walls. The semiconductor memory cell also includes a floating gate electrode positioned within the trench and insulated from the trench walls by a first insulation region; a control gate electrode surrounding the trench; and a second insulation layer on the surface of the semiconductor substrate. The semiconductor memory cell further includes a conductive layer positioned on the second insulation layer. The conductive layer includes a channel region positioned above the floating gate electrode. The semiconductor memory cell also includes a source region and a drain region. The source region and the drain region are each formed in the conductive layer. The source region and the drain region are also connected to the channel region.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: September 6, 2005
    Assignee: Infineon Technology AG
    Inventor: Oliver Gehring
  • Patent number: 6929983
    Abstract: A current-controlling device comprising a first conductor, a second conductor, and a tunneling barrier comprising a first insulating layer between the first conductor and the second conductor. The tunneling barrier electrically isolates the first conductor from the second conductor. At least one mobile charge is positionable within the tunneling barrier. The device also includes a gate, wherein a voltage applied to the gate with respect to the substrate (or with respect to a second gate formed on or in the substrate) modulates or moves the mobile charge to a position between the first conductor and the second conductor within the tunneling barrier, thus deforming the shape of the energy barrier between the first conductor and the second conductor. The deformation can cause a current to flow between the conductors when a voltage is present between them due to quantum mechanical tunneling.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 16, 2005
    Assignee: Cabot Microelectronics Corporation
    Inventors: Heinz H. Busta, J. Scott Steckenrider
  • Patent number: 6924197
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6914013
    Abstract: A semiconductor device and a method of forming the semiconductor device are disclosed. The semiconductor device includes: a semiconductor substrate; a patterned floating gate formed on the semiconductor substrate, the patterned floating gate having upper and side parts and corners; and a dielectric layer containing a first oxide layer, a nitride layer and a second oxide layer deposited over the semiconductor substrate and the floating gate. The ratio of the thickness of the first oxide layer in the upper and side parts of the patterned floating gate to the thickness of the first oxide layer in the corners of the patterned floating gate does not exceed 1.4. The semiconductor device has an improved coupling coefficient, and reduced leakage current.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: July 5, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-Hong Chung
  • Patent number: 6913973
    Abstract: A semiconductor device comprises a first transistor having a composite gate structure containing a lamination of a first polycrystalline silicon film, an interlayer insulating film, and a second polycrystalline silicon film; and a second transistor having a single gate structure containing a lamination of a third polycrystalline silicon film and a fourth polycrystalline silicon film, wherein the first polycrystalline silicon film and the third polycrystalline silicon film have substantially the same thickness; the first polycrystalline-silicon film and the third polycrystalline silicon film have different impurity concentrations controlled independently of each other; the second polycrystalline silicon film and the fourth polycrystalline silicon film have substantially the same thickness, and the second polycrystalline silicon film, the fourth polycrystalline silicon film, and the third polycrystalline silicon film have substantially the same impurity concentration.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: July 5, 2005
    Assignee: Nippon Steel Corporation
    Inventor: Katsuki Hazama
  • Patent number: 6897523
    Abstract: A semiconductor device is provided which includes a diode formed of a MISFET and having a current-voltage characteristic close to that of an ideal diode. Negatively charged particles (e.g. electrons: 8a) are trapped on the drain region (2) side of a silicon nitride film (4b) sandwiched between films of silicon oxide (4a, 4c). When a bias voltage is applied between the drain and source with the negatively charged particles (8a) thus trapped and in-channel charged particles (9a) induced by them, the MISFET exhibits different threshold values for channel formation depending on whether it is a forward bias or a reverse bias. That is to say, when a reverse bias is applied, the channel forms insufficiently and the source-drain current is less likely to flow, while the channel forms sufficiently and a large source-drain current flows when a forward bias is applied. This offers a current-voltage characteristic close to that of the ideal diode.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: May 24, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Shuichi Ueno, Haruo Furuta, Shigehiro Kuge, Hiroshi Kato
  • Patent number: 6888749
    Abstract: Structures and methods involve dynamic enhancement mode p-channel flash memories with ultrathin tunnel oxide thicknesses. Both write and erase operations are performed by tunneling. The p-channel flash memory cell with thin tunnel oxides will operate on a dynamic basis. The stored data can be refreshed every few seconds as necessary. However, the write and erase operations will now be orders of magnitude faster than traditional p-channel flash memory. Structures and methods for p-channel floating gate transistors are provided that avoid p-channel threshold voltage shifts and achieve source side tunneling erase. The p-channel memory cell structure includes a floating gate separated from a channel region by an oxide layer of less than 50 Angstroms. The methods further include reading the p-channel memory cell by applying a potential to a control gate of the p-channel memory cell of less than 1.0 Volt.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 6887757
    Abstract: A method of fabricating a flash memory device is provided. First, a substrate partitioned into a memory cell region and a peripheral circuit region is provided. A tunnel dielectric layer is formed over the memory cell region and a liner layer is formed over the peripheral circuit region. Thereafter, a patterned gate conductive layer is formed over the substrate. An inter-gate dielectric layer and a passivation layer are sequentially formed over the substrate. The passivation layer, the inter-gate dielectric layer, the gate conductive layer and the liner layer over the peripheral circuit region are removed. A gate dielectric layer is formed over the peripheral circuit region while the passivation layer over the memory cell region is converted into an oxide layer. Another conductive layer is formed over the substrate. The conductive layer, the oxide layer, the inter-gate dielectric layer and the gate conductive layer over the memory cell region are patterned to form a memory gate.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: May 3, 2005
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Kuang-Chao Chen, Hsueh-Hao Shih, Ling-Wuu Yang
  • Patent number: 6884680
    Abstract: A manufacturing method for a non-volatile memory device includes the steps of forming a well and a channel in a silicon substrate, depositing a tunnel oxide layer, a first polysilicon layer and a nitride layer sequentially, and then performing a trench etching thereof to thereby form a self-align flash memory device.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: April 26, 2005
    Assignee: ANAM Semiconductor, Inc.
    Inventor: Kwan Ju Koh
  • Patent number: RE40275
    Abstract: A method for producing a memory cell includes masking a desired polysilicon structure with an oxidation-inhibiting layer, preferably a nitride layer. The polysilicon above source/drain regions and field regions is then converted into silicon dioxide. At the same time, filling with silicon dioxide is effected between adjacent polysilicon paths. The field oxide thickness is increased by the conversion of polysilicon in the field regions as well. A second polysilicon layer is applied over a field region, with inclusion of the oxidation-inhibiting layer present there. One electrode of a capacitor is produced therefrom through the use of marking and etching, with the first polysilicon situated under the oxidation-inhibiting layer forming another electrode and the oxidation-inhibiting layer forming a dielectric. The structure provides a less complex masking and etching technique as well as improved reliability of the components.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: April 29, 2008
    Assignee: Infineon Technologies AG
    Inventor: Gunther Plasa