Tunneling Insulator Patents (Class 438/263)
  • Patent number: 6869844
    Abstract: A structure for protecting an NROM from induced charge damage during device fabrication is described. The structure provides a discharge path for charge accumulated on the polygate layer during fabrication while providing sufficient isolation to ensure normal circuit operation.
    Type: Grant
    Filed: November 5, 2003
    Date of Patent: March 22, 2005
    Assignee: Advanced Micro Device, Inc.
    Inventors: Zhizheng Liu, Yider Wu, Jean Yee-Mei Yang
  • Patent number: 6849506
    Abstract: A non-volatile memory device includes a floating gate formed over a semiconductor substrate. At one end of the floating gate, there is a tapered protrusion having a horn-like or bird's beak shape. A control gate covers the floating gate except for the tapered protrusion. Sidewall spacers are formed adjacent to the floating gate and the control gate. An erasing gate is formed over the tapered protrusion of the floating gate.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: February 1, 2005
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kee Yeol Na, Wook Hyun Kwon
  • Patent number: 6841445
    Abstract: A non-volatile memory cell of the type which includes at least one floating gate transistor and which is realized over a semiconductor substrate includes a source region, and a drain region, separated by a channel region which is overlaid by a thin layer of gate oxide. The gate oxide isolates a floating gate region from the substrate. The floating gate region is coupled to a control gate terminal. The floating gate region of the memory cell develops a first potential barrier between the semiconductor substrate and the gate oxide layer, and a second different potential barrier between the floating gate region and the gate oxide.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: January 11, 2005
    Assignee: SGS-Thomson Microelectronics S.r.l.
    Inventor: Paolo Cappelletti
  • Patent number: 6838337
    Abstract: A method and apparatus are described which provide a memory device with sense amplifiers extending in a first direction and corresponding digit lines extending in a second direction perpendicular to the first direction. A pair of complementary digit lines may originate from different memory sub-arrays. The arrangement is particular useful for memory arrays having 6F**2 feature size.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventor: John Schreck
  • Patent number: 6821848
    Abstract: Tunnel-junction structures are fabricated by any of a set of related methods that form two or more tunnel junctions simultaneously. The fabrication methods disclosed are compatible with conventional CMOS fabrication practices, including both single damascene and dual damascene processes. The simultaneously formed tunnel junctions may have different areas. In some embodiments, tub-well structures are formed with sloped sidewalls. In some embodiments, an oxide-metal-oxide film stack on the sidewall of a tub-well is etched to form the tunnel junctions. Memory circuits, other integrated circuit structures, substrates carrying microelectronics, and other electronic devices made by the methods are disclosed.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: November 23, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Dennis Lazaroff, Kenneth M. Kramer, James E. Ellenson, Neal W. Meyer, David Punsalan, Kurt Ulmer, Peter Fricke, Andrew Koll, Andrew L. Van Brocklin
  • Publication number: 20040229435
    Abstract: A method of fabricating a flash memory device is provided. First, a substrate partitioned into a memory cell region and a peripheral circuit region is provided. A tunnel dielectric layer is formed over the memory cell region and a liner layer is formed over the peripheral circuit region. Thereafter, a patterned gate conductive layer is formed over the substrate. An inter-gate dielectric layer and a passivation layer are sequentially formed over the substrate. The passivation layer, the inter-gate dielectric layer, the gate conductive layer and the liner layer over the peripheral circuit region are removed. A gate dielectric layer is formed over the peripheral circuit region while the passivation layer over the memory cell region is converted into an oxide layer. Another conductive layer is formed over the substrate. The conductive layer, the oxide layer, the inter-gate dielectric layer and the gate conductive layer over the memory cell region are patterned to form a memory gate.
    Type: Application
    Filed: May 14, 2003
    Publication date: November 18, 2004
    Inventors: Kuang-Chao Chen, Hsueh-Hao Shih, Ling-Wuu Yang
  • Publication number: 20040227180
    Abstract: A multi-level memory cell includes a substrate, an insulation layer, a silicon stripe, a first control gate, a second control gate, source/drain regions, silicon oxide/silicon nitride/silicon oxide composite layers. The insulation layer and the silicon stripe are sequentially disposed on the substrate. The first control gate and the second control gate are respectively disposed on the sidewalls of the silicon stripe, while the source/drain regions are configured in the silicon stripe beside both sides of the first control gate and the second control gate. The composite dielectric layers are disposed between the first control gate and the silicon stripe, and between the second control gate and the silicon stripe. Since a single memory structure can store a multiple bit of information, it is advantageous for minimizing devices.
    Type: Application
    Filed: August 5, 2003
    Publication date: November 18, 2004
    Inventors: Chiu-Tsung Huang, Ko-Hsing Chang
  • Patent number: 6808996
    Abstract: A method for making a ULSI MOSFET includes covering core gate stacks with a first protective layer, etching away the first layer such that intended source regions of the substrate are exposed, and implanting dopant into the source regions. A second protective layer is then deposited over the first layer and is etched back to conform to the first layer, covering only the sides of the gate stacks, and exposing intended drain regions of the substrate. Dopant is then implanted into the drain regions. During subsequent manufacturing steps including ILD formation and metallization, mobile ions and other process-induced charges are blocked from entering the floating gates of the gate stacks by the protective layers, thereby preventing unwanted charge gain/loss.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: October 26, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Tuan D. Pham, Mark T. Ramsbey, Sameer S. Haddad, Angela T. Hui, Yu Sun, Chi Chang
  • Patent number: 6808988
    Abstract: A method for making a self-aligned isolated memory core for a flash memory wafer includes the steps of establishing control gates for memory cells in the core by depositing a first polysilicon layer on a silicon substrate, etching the first layer, and depositing a second polysilicon layer on the substrate, with the polysilicon layers being separated by an interpoly dielectric layer. Then, after the control gates have been established, isolation trenches are formed in the silicon substrate between regions by self-aligned etching processes.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: October 26, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hung-Sheng Chen, Yowjuang W. Liu
  • Publication number: 20040188751
    Abstract: A stacked gate flash memory device and method of fabricating the same. A cell of the stacked gate flash memory device in accordance with the invention is disposed in a cell trench within a substrate to achieve higher integration of memory cells.
    Type: Application
    Filed: April 6, 2004
    Publication date: September 30, 2004
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Chi-Hui Lin
  • Patent number: 6784484
    Abstract: An insulating barrier extending between a first conductive region and a second conductive region is disclosed. The insulating barrier is provided for tunnelling charge carriers from the first to the second region, the insulating barrier comprising a first portion contacting the first region and a second portion contacting the first portion and extending towards the second region, the first portion being substantially thinner than the second portion, the first portion being constructed in a first dielectric and the second portion being constructed in a second dielectric different from the first dielectric, the first dielectric having a lower dielectric constant than the second dielectric.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: August 31, 2004
    Assignee: Interuniversitair Micoroelektronica Centrum (IMEC, vzw)
    Inventors: Pieter Blomme, Bogdan Govoreanu, Maarten Rosmeulen
  • Patent number: 6781188
    Abstract: Disclosed is a nonvolatile semiconductor memory device in which a disturbance phenomenon can be prevented. A nonvolatile semiconductor memory device has a semiconductor substrate, and a floating gate electrode formed on the semiconductor substrate via a gate insulating film. The floating gate electrode includes a lower conductive layer formed on the gate insulating film and having a first width W1 in a channel width direction, and an upper conductive layer formed on the lower conductive layer and having a second width W2 wider than the first width W1 in the channel width direction.
    Type: Grant
    Filed: May 24, 2002
    Date of Patent: August 24, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yasuo Nakatani
  • Publication number: 20040159881
    Abstract: A semiconductor device (30) comprises an underlying insulating layer (34), an overlying insulating layer (42) and a charge storage layer (36) between the insulating layers (34, 42). The charge storage layer (36) and the overlying insulating layer (42) form an interface, where at least a majority of charge in the charge storage layer (36) is stored. This can be accomplished by forming a charge storage layer (36) with different materials such as silicon and silicon germanium layers or n-type and p-type material layers, in one embodiment. In another embodiment, the charge storage layer (36) comprises a dopant that is graded. By storing at least a majority of the charge at the interface between the charge storage layer (36) and the overlying insulating layer (42), the leakage of charge through the underlying insulating layer is decreased allowing for a thinner underlying insulating layer (34) to be used.
    Type: Application
    Filed: February 13, 2004
    Publication date: August 19, 2004
    Inventors: Gowrishankar L. Chindalore, Frank K. Baker, Paul A. Ingersoll, Alexander B. Hoefler
  • Patent number: 6777282
    Abstract: A semiconductor memory device having nonvolatile memory cells each formed of a MISFET having both a floating gate and a control gate and first and second semiconductor regions serving as the source and drain regions, respectively. In accordance with the method of manufacture thereof, an impurity, for example, arsenic, is introduced to form both the first and second semiconductor regions but with the second semiconductor region having a lower dose thereof so that the first semiconductor region formed attains a junction depth greater than that of the second semiconductor region, and both the first and second semiconductor regions have portions thereof extending under the floating gate electrode. The device and method therefor further feature the formation of MISFETs of peripheral circuits.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: August 17, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Kazuhiro Komori, Toshiaki Nishimoto, Satoshi Meguro, Hitoshi Kume, Yoshiaki Kamigaki
  • Publication number: 20040137683
    Abstract: A method of fabricating a multi-bit flash memory, having a control gate, a floating gate, a source region, a drain region and a channel region. An isolation region is formed in the floating gate to partition the floating gate into a plurality of conductive blocks. The conductive blocks are arranged in an array with rows extending from the source region to the drain region. Each row of the array has two conductive blocks. Before any data is written to the flash memory, the channel regions under the conductive blocks of the same row have the same threshold voltage, while the channel regions under the conductive blocks of different rows have different threshold voltage.
    Type: Application
    Filed: January 20, 2004
    Publication date: July 15, 2004
    Inventor: Kent Kuohua Chang
  • Patent number: 6762093
    Abstract: A floating gate transistor includes a first floating gate portion extending horizontally over a channel region. A second floating gate portion vertically extends upwardly from the first floating gate portion to be coupled to a control gate. The second floating gate portion can be formed in a container shape with the control gate formed within the container floating gate. The transistor allows the die real estate occupied by the transistor to be reduced while maintaining the coupling area between the floating and control gates. The transistor can be used in non-volatile memory devices, such as flash memory.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: July 13, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Paul Rudeck
  • Publication number: 20040129972
    Abstract: A semiconductor device having a memory region in which a memory cell array is formed of non-volatile memory devices arranged in a matrix of a plurality of rows and columns. Each of the non-volatile memory devices has: a word gate formed above a semiconductor layer with a gate insulating layer interposed; an impurity layer formed in the semiconductor layer; and control gates in the form of side walls formed along both side surfaces of the word gate. Each of the control gates consists of a first control gate and a second control gate adjacent to each other; the first control gate is formed on a first insulating layer which is a stack of a first silicon oxide film, a silicon nitride film, and a second silicon oxide film; and the second control gate is formed on a second insulating layer formed of a silicon oxide film.
    Type: Application
    Filed: October 22, 2003
    Publication date: July 8, 2004
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Yoshikazu Kasuya
  • Patent number: 6759298
    Abstract: A method of forming an array of FLASH field effect transistors and circuitry peripheral to such array includes forming a sacrificial oxide over an array area and a periphery area of a semiconductor substrate. After forming the sacrificial oxide, at least one conductivity modifying implant is conducted into semiconductive material of the substrate within the array without conducting the one conductivity modifying implant into semiconductive material of the substrate within the periphery. The sacrificial oxide is removed from the array while the sacrificial oxide is left over the periphery. After removing the sacrificial oxide from the array, at least some FLASH transistor gates are formed within the array and at least some non-FLASH transistor gates are formed within the periphery.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: July 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Roger W Lindsay, Mark A. Helm
  • Patent number: 6756268
    Abstract: Methods and devices are disclosed utilizing a phosphorous-doped oxide layer that is added prior to re-oxidation. This allows greater control of the re-oxidation process and greater control of the performance characteristics of semiconductor devices such as flash memory. For flash memory, greater control is gained over programming rates, erase rates, data retention and self align source resistance.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Paul J. Rudeck, Francis Benistant, Kelly Hurley
  • Patent number: 6753570
    Abstract: A non-volatile memory device includes insulators between floating gates. The insulators each include both a lower trench-fill insulator portion in a trench in the substrate, and an upper protruding portion that protrudes from the substrate. Floating gates extend between the protruding portions of adjacent insulators, and are in contact with the protruding portions of the adjacent insulators. An interpoly dielectric overlies the floating gates, and a control gate overlies the interpoly dielectric. The insulators and the floating gates may make a substantially planar surface for the interpoly dielectric, which may themselves be planar.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: June 22, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nicholas H. Tripsas, Kuo-Tung Chang, Mark T. Ramsbey
  • Patent number: 6750159
    Abstract: An object of the present invention is to provide a semiconductor apparatus and a method of manufacturing the same, in which dispersion of a threshold voltage Vth of a transistor at every transistor is reduced to remove generation of fixed charges in a gate insulation film and a surface level to stabilize the operation of the semiconductor apparatus. A semiconductor apparatus having a MIS transistor (1), wherein a gate electrode (4) of said MIS transistor (1), which mainly contributes to the operation of a circuit, is continuously formed to a position above a bypass film (8) made of an insulation film through which a leak current is able to easily flow as compared with a gate insulation film (7) of said MIS transistor (1) under the same voltage.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: June 15, 2004
    Assignee: Sony Corporation
    Inventor: Hideshi Abe
  • Publication number: 20040104425
    Abstract: This invention is intended to improve reliability of a nonvolatile semiconductor memory device and reduces a memory cell size of the nonvolatile semiconductor memory device. A memory cell which includes source/drain diffusion layers in a p-type well formed in a silicon substrate, silicon nitride dots which are located between silicon oxide films and into which charges are injected, a control gate 212, and assist gates is formed. Programming is conducted to the memory cell by injecting electrons into the drain-side silicon nitride dots or the source-side silicon nitride dots. Since silicon nitride serving as a charge injected section is in the form of dots, it is possible to suppress movement of the charges in a channel direction, to prevent the charges on a source end portion and those on a drain end portion from being mixed together, and to improve charge holding characteristic of the memory cell. Even in the case where a gate length is shortened, the charge holding characteristic can be secured.
    Type: Application
    Filed: November 26, 2003
    Publication date: June 3, 2004
    Applicant: Renesas Technology Corp.
    Inventors: Takashi Kobayashi, Toshiyuki Mine
  • Patent number: 6743676
    Abstract: The present invention relates to a method of forming a floating gate in a flash memory device. Upon formation of a device isolation film, a space of a lower polysilicon layer for a floating gate is defined, a bird's beak is formed on an internal surface of a trench by subsequent well sacrificial oxidization process and well oxidization process and an upper polysilicon layer for a floating gate is then formed, so that the space of the floating gate is formed. Therefore, the present invention can reduce the cost since a mask process is not required compared to an existing stepper method and the process cost since a planarization process using chemical mechanical polishing process (CMP) is not required compared to the self-aligned floating mode.
    Type: Grant
    Filed: November 4, 2002
    Date of Patent: June 1, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Kee Park, Ki Seog Kim, Keun Woo Lee, Keon Soo Shim
  • Patent number: 6734065
    Abstract: Embodiments of the invention provide a method that includes forming a selection transistor and a cell transistor that includes a cell gate insulation layer in a cell array area. The method also includes forming a low-voltage MOS transistor having a low-voltage gate insulation layer and a high-voltage MOS transistor having a high-voltage gate insulation layer in a peripheral circuit area. The low-voltage gate insulation layer is formed thinner than the high-voltage gate insulation layer. The low voltage gate insulation layer may also be formed thinner than the equivalent thickness of the cell gate insulation layer.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: May 11, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Sik Yim, Jung-Dal Choi, Hong-Suk Kwack, You-Cheol Shin
  • Patent number: 6716701
    Abstract: Disclosed is a method of manufacturing a semiconductor memory device. An ion implantation layer is formed into a given depth of the semiconductor substrate. Therefore, it is possible to prevent the dopant (P31) gettered on the surface of the semiconductor substrate from being diffused toward the bottom when a well ion is injected. The dopant (P31) gettered on the surface of the semiconductor substrate is easily experienced by transit-enhanced diffusion even at low temperature. Also, the dopant may serve as counter dopping in the buried channel. In the present invention, as the behavior of this dopant (P31) is prohibited in a subsequent annealing process, the concentration of the ion for controlling the threshold voltage could be uniformly kept. Therefore, the present invention can manufacture devices of high reliability having a stable threshold voltage characteristic and can be flexibly applied to manufacturing the devices depending on reduction in the design rule.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: April 6, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Noh Yeal Kwak, Hong Seon Yang
  • Patent number: 6696724
    Abstract: A semiconductor device comprising a non-volatile memory cell (20; 200) for storing a bit, arranged in a semiconductor substrate (21) containing a first dopant type, the memory cell including a drain (24) in the substrate (21), a floating gate (29), a control gate (30), a thin gate isolation layer (27), and an insulating layer (32), the insulating layer (32) being above the floating gate (29), the control gate (30) being above the insulating layer (32), the floating gate (29) being above the thin gate isolation layer (27), and the cell further including an access transistor (34) for controlling access to the non-volatile memory cell (20; 200), the cell (20; 200) including a buried substrate layer (22) containing a second dopant type and a source (26), and the access transistor (34) being formed in the substrate (21), in a trench adjacent to the floating gate (29), said trench extending substantially from the source (26) to the substrate's surface.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: February 24, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Robertus Dominicus Joseph Verhaar
  • Publication number: 20040026733
    Abstract: To propose a new channel structure suitable for high efficiency source side injection, and provide a non-volatile semiconductor memory device and a charge injection method using the same. The non-volatile memory device includes a first conductivity type semiconductor substrate (SUB), a first conductivity type inversion layer-forming region (CH1), second conductivity type accumulation layer-forming regions (ACLa, ACL2b), second conductivity type regions (S/D1, S/D2), an insulating film (GD0) and a first conductive layer (CL) formed on the inversion layer-forming region (CH1). A charge accumulation film (GD) and a second conductive layer (WL) are stacked on an upper surface and side surface of the first conductive layer (CL), an exposure surface of the inversion layer-forming region (CH1), and an upper surface of the accumulation layer-forming regions (ACLa, ACLb) and the second conductivity type regions (S/D1, S/D2).
    Type: Application
    Filed: July 23, 2003
    Publication date: February 12, 2004
    Inventors: Hideto Tomiie, Toshio Terano, Toshio Kobayashi
  • Patent number: 6667511
    Abstract: A method of forming a NAND-type flash memory device including forming a stacked gate flash memory structure (346) containing an interpoly dielectric layer (322) for one or more flash memory cells in a core region (305). The method also includes forming a select gate transistor structure (348) having a first gate oxide (322) formed of the interpoly dielectric material and a gate conductor (338) overlying the first gate oxide (322) in the core region (305). A NAND-type flash memory device includes a core region (305) comprising a stacked gate flash memory cell structure (346) and a select gate transistor (348) and a periphery region (314, 315) comprising a low voltage transistor (342) and a high voltage transistor (350).
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: December 23, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Hao Fang
  • Patent number: 6642108
    Abstract: A non-volatile memory includes a floating gate extending in a substrate between source and drain regions. A channel region may be confined by two insulating layers. The invention is particularly applicable to EPROM, EEPROM, Flash and single-electron memories using CMOS technology.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: November 4, 2003
    Assignee: STMicroelectronics SA
    Inventors: Thomas Skotnicki, Didier Dutartre, Pascal Ribot, Maryse Paoli, Richard Fournel
  • Patent number: 6642107
    Abstract: A method for manufacturing a non-volatile memory device including a self-aligned gate structure, and a non-volatile memory device manufactured by the same method, are provided. In the method for manufacturing a non-volatile memory device, a tunnel dielectric layer is formed on a semiconductor substrate. First floating gate patterns are formed on the tunnel dielectric layer. Mold patterns are formed on the first floating gate patterns to selectively expose predetermined portions of the first floating gate patterns. Floating gates are formed by removing the exposed portions of the first floating gate patterns using the mold patterns as a mask. Interlayer dielectric layer patterns are formed for insulating the floating gates from one another by filling gaps between the mold patterns. The mold patterns exposed between the interlayer dielectric layer patterns are formed using the interlayer dielectric layer patterns as an etching mask.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: November 4, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kang-ill Seo, Jae-seung Hwang, Seung-min Lee
  • Patent number: 6638877
    Abstract: N2O is used as the oxidant for forming an ultra-thin oxide (14). The low oxidation efficiency of N2O compared to O2 allows the oxidation temperature to be raised to greater than 850° C. while maintaining the growth rate. A cold wall lamp heater rapid thermal process (RTP) tool limits reaction to the surface of the wafer (10). Hydrogen is preferably added to improve the electrical properties of the oxide (14).
    Type: Grant
    Filed: October 4, 2001
    Date of Patent: October 28, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Antonio L. P. Rotondaro
  • Publication number: 20030197217
    Abstract: An electrically-programmable memory cell programmed by means of injection of channel hot electrons into a charge-storage element capacitively coupled to a memory cell channel for modulating a conductivity thereof depending on a stored amount of charge. A first and a second spaced-apart electrode regions are formed in a semiconductor layer and define a channel region there between; at least one of the first and second electrode regions acts as a programming electrode of the memory cell. A control electrode is capacitively coupled to the charge-storage element. The charge-storage element is placed over the channel to substantially extend from the first to the second electrode regions, and is separated from the channel region by a dielectric layer. The dielectric layer has a reduced thickness in a portion thereof near the at least one programming electrode.
    Type: Application
    Filed: February 20, 2003
    Publication date: October 23, 2003
    Applicant: STMicroelectronics S.r.I
    Inventor: Luigi Pascucci
  • Patent number: 6635532
    Abstract: Disclosed is a method for fabricating a NOR flash memory device where a buried common source line made of an impurity diffusion layer has an even surface or a lower step difference. The method includes forming adjacent isolation layers that define an active region there between within a semiconductor substrate. Then, a floating gate pattern is formed overlying the active region. An inter-gate dielectric film and a control gate film are sequentially formed overlying the floating gate pattern. The control gate film, the inter-gate dielectric film, and the floating gate pattern are sequentially patterned, thereby forming a plurality of word lines extending across the active region. The active region between the adjacent isolation layers and the isolation layers are removed, adjacent to one sidewall of the word lines, thereby forming a common source line region. Next, impurities are implanted into the common source line region, thereby forming a common source line made of an impurity diffusion layer.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: October 21, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Heub Song, Woon-Kyung Lee
  • Patent number: 6630382
    Abstract: Various emdodiments include a transistor device that is controlled by a gate current and that exhibits low power consumption as well as high speed characteristics. In various embodiments, an enhancement mode MESFET device exhibits channel drain current that is controlled by the application of bias current into the gate. Complementary n- and p-channel devices can be realized for, for example, micropower analog and digital circuit applications.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: October 7, 2003
    Assignee: Arizona State University
    Inventor: Trevor J. Thornton
  • Patent number: 6627501
    Abstract: A method of forming a tunnel oxide layer is disclosed. The method of the present invention uses the rapid thermal process (RTP) rather than the conventional furnace process. The silicon dioxide (SiO2) film served as the tunnel oxide layer is formed on single wafer by utilizing the rapid thermal oxidation (RTO) method, and the tunnel oxide layer is annealed in-situ by utilizing the rapid thermal annealing (RTA) method to improve the quality of the tunnel oxide layer. Therefore, the time of forming the tunnel oxide layer can be decreased, and the thermal budget of the process can be reduced. Further, the uniformity of the tunnel oxide layer can be enhanced, and not only the contamination but also the consumed manpower and time resulted from changing chamber can be avoided.
    Type: Grant
    Filed: January 10, 2002
    Date of Patent: September 30, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Chin-Ta Su
  • Patent number: 6624027
    Abstract: A tiny tunnel oxide window with dimensions smaller than the minimum feature resolution of the process equipment is formed in an EEPROM structure by placing dummy nitride spacers on either side of a nitride implant mask over a gate oxide layer after source and drain are formed by implantation at opposed sides of the nitride mask. The spacers are formed in a second nitride layer deposit after the nitride mask formation. The spacers are etched to have a desired tunnel oxide dimension. Another oxide layer is deposited over one of the source and drain regions, abutting a nitride spacer. The nitride layers are removed leaving a spacer nest, into which tunnel oxide is deposited. The device is finished in the usual way for an ESPROM structure.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: September 23, 2003
    Assignee: Atmel Corporation
    Inventors: Eleonore Daemen, Alan L. Renninger, Bohumil Lojek
  • Patent number: 6610569
    Abstract: The present invention provides a polycrystalline silicon conducting structure (e.g., a resistor) whose resistance value is controlled, and can be less variable and less dependent on temperature with respect to any resistant value, and a process of producing the same. Use is made of at least a two-layer structure including a first polycrystalline silicon layer of large crystal grain size and a second polycrystalline silicon layer of small crystal grain size, and the polycrystalline first silicon layer has a positive in temperature dependence of resist while the second polycrystalline layer has a negative temperature dependence of resistance, or vice versa. Moreover, the polycrystalline silicon layer of large grain size can be formed by high dose ion implantation and annealing, or by depositing the layers by chemical vapor deposition at different temperatures so as to form large-grain and small-grain layers.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: August 26, 2003
    Assignees: Hitachi, Ltd., Hitachi Device Engineering Co., Ltd.
    Inventors: Hiromi Shimamoto, Takashi Uchino, Takeo Shiba, Kazuhiro Ohnishi, Yoichi Tamaki, Takashi Kobayashi, Toshiyuki Kikuchi, Takahide Ikeda
  • Patent number: 6608348
    Abstract: A semiconductor device comprises a memory cell array in which a plurality of nonvolatile semiconductor memory devices are arrayed in a row direction and a column direction. Each of the nonvolatile semiconductor memory devices includes a silicon semiconductor substrate, a floating gate disposed on the silicon semiconductor substrate through a gate insulating layer interposed therebetween, a second insulating layer disposed on the floating gate, and a control gate which is isolated from the floating gate and extends in the row direction. The nonvolatile semiconductor memory devices which are adjacent each other in the row direction are isolated by element isolation regions extending in the column direction. One of angles formed where a major axis direction of the floating gate in a planar configuration of the memory cell array intersects the column direction is an acute angle.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: August 19, 2003
    Assignee: Seiko Epson Corporation
    Inventor: Kazunobu Kuwazawa
  • Patent number: 6607956
    Abstract: A method of manufacturing a memory device that includes a plurality of cells, each having a first electrode coupled to a first location on semiconductor material, a second electrode coupled to a second location disposed away from the first location on the semiconductor material and a plurality of islands of semiconductor material. The islands are surrounded by an insulator. The islands and the surrounding insulator are formed in pores extending into the semiconductor material between the first and second electrodes. As a result, the memory cells are able to provide consistent, externally observable changes in response to the presence or absence of a single electron on the island.
    Type: Grant
    Filed: April 15, 2002
    Date of Patent: August 19, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6589835
    Abstract: A process of manufacturing a flash memory device having a tunnel oxide layer with high reliability, low defect and interface trap by using semi-atmospheric pressure chemical vapor deposition (SPACVD) and tetra-ethyl-ortho-silicate (TEOS) reactant. SAPCVD is performed accompanied with a reaction temperature between about 600° C. and about 750° C. and a reaction pressure between about 340 Torr and about 500 Torr to react TEOS and oxygen.
    Type: Grant
    Filed: March 22, 2001
    Date of Patent: July 8, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Kent Kuohua Chang
  • Patent number: 6586302
    Abstract: A method for making an electrically programmable and erasable memory cell is disclosed. Specifically, a method for creating a floating gate using shallow trench isolation-type techniques is utilized to provide a floating gate having sharply defined tip characteristics. A first insulating layer is formed over a substrate. A conductive material is formed over the first insulating layer. A trench is defined in the conductive layer. This trench is filled with an oxide which is used as a mask to define tips of the floating gate during an etching process which defines the edges of the floating gate. After the floating gate has been etched, a tunneling oxide deposited over the floating gate. A conductive material is then formed over the tunneling oxide.
    Type: Grant
    Filed: August 16, 2001
    Date of Patent: July 1, 2003
    Assignee: National Semiconductor Corporation
    Inventors: Peter J. Hopper, Yuri Mirgorodski, Chin Miin Shyu, David Tsuei, Peter Johnson, Alexander H. Owens
  • Patent number: 6576537
    Abstract: In a method for fabricating a memory cell, a gate stack on a substrate comprises a tunneling dielectric layer, a first conductive layer and a cap layer. Source and drain regions are formed in the substrate adjacent to the gate stack, and spacers are formed on the sidewalls of the gate stack. A plurality of isolation structures are formed through the source/drain regions concurrently to a removal of the cap layer. A second conductive layer is formed over the first conductive layer. By down setting the isolation structures and patterning the second conductive layer over the isolation structures, the patterned second conductive layer is conformal to the profile of the first conductive layer and the spacers by wrapping around the spacers, and extends over the isolation structures. The surface area of the floating thereby formed is increased, which increase the capacitive-coupling ratio.
    Type: Grant
    Filed: August 8, 2001
    Date of Patent: June 10, 2003
    Assignee: Vanguard International Semiconductor Corp.
    Inventor: Horng-Huei Tseng
  • Patent number: 6566705
    Abstract: An EPROM structure includes a NMOS transistor integrated with a capacitor. The terminal names of the NMOS transistor follow the conventional nomenclature: drain, source, body and gate. The gate of the NMOS transistor is connected directly and exclusively to one of the capacitor plates. In this configuration, the gate is now referred to as the “floating gate”. The remaining side of the capacitor is referred to as the “control gate”.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: May 20, 2003
    Assignee: Intersil Americas, Inc.
    Inventor: Michael David Church
  • Patent number: 6559007
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: May 6, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Publication number: 20030075756
    Abstract: In a non-volatile semiconductor memory device, the device is miniaturized by increasing the coupling ratio between a floating gate and a control gate electrode and reducing the write voltage. In a non-volatile memory device (a so-called floating gate type flash memory 300)) having a floating gate electrode FG in an insulation film (a tunnel oxide film (4), an ONO film structure (9)) between a semiconductor layer (a Si substrate (1)) and a control gate electrode CG, wherein charge is accumulated in the floating gate electrode FG, thereby causing a change in the threshold voltage of a transistor, and thus storing data, the floating gate electrode FG faces substantially the entire surfaces of a bottom surface and a side of the control gate electrode CG via the insulation film (the ONO film structure (9)).
    Type: Application
    Filed: September 13, 2002
    Publication date: April 24, 2003
    Inventor: Toshiharu Suzuki
  • Patent number: 6524911
    Abstract: An improved method of fabricating a non-volatile semiconductor device having a BPTEOS oxide film is provided. The present method utilizes the step of performing a RTA at a temperature of about 800° C. immediately after the deposition of the BPTEOS film so as to densify and stabilize the same. Then, a CMP step is performed so as to planarize the BPTEOS film.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: February 25, 2003
    Assignee: Lattice Semiconductor Corporation
    Inventor: Sunil D. Mehta
  • Patent number: 6518620
    Abstract: A memory cell for an EEPROM memory is fabricated to provide increased oxide thickness at the edge of the tunnel oxide and under the edges of the polysilicon capacitor plate in order to improve the dielectric integrity of the capacitor structure. In one embodiment using a silicided polysilicon process, the oxide is made thicker at the edge of the tunnel oxide by reoxidizing the silicon at the corner of the polysilicon capacitor plate and the underlying substrate surface by exposing the device to a short duration oxidation step after having deposited a 200 Å to 500 Å thick porous oxide over the device to protect the silicide from excessive exposure to the oxidizing ambient. In another embodiment the tunnel oxide is grown in a window in the gate oxide layer, which is about four times thicker than the tunnel oxide, so that the gate oxide completely surrounds the tunnel oxide, and the polysilicon capacitor plate extends beyond the edge of the tunnel oxide terminating at a point above the gate oxide.
    Type: Grant
    Filed: November 18, 1998
    Date of Patent: February 11, 2003
    Assignee: STMicroelectronics, Inc.
    Inventors: Tsiu Chiu Chan, Pervez H. Sagarwala, Loi Nguyen
  • Publication number: 20030015752
    Abstract: Each memory cell is a memory transistor which is provided on a top side of a semiconductor body and has a gate electrode which is arranged in a trench located between a source region and a drain region that are formed in the semiconductor material. The gate electrode is separated from the semiconductor material by a dielectric material. At least between the source region and the gate electrode and between the drain region and the gate electrode, there is an oxide-nitride-oxide layer sequence. The layer sequence is provided for the purpose of trapping charge carriers at the source and the drain.
    Type: Application
    Filed: August 9, 2001
    Publication date: January 23, 2003
    Applicant: Infineon Technologies AG
    Inventors: Herbert Palm, Josef Willer, Achim Gratz, Jakob Kriz, Mayk Roehrich
  • Patent number: 6509606
    Abstract: Leakage of a single-poly EPROM cell is prevented by eliminating field oxide isolating the source, channel, and drain from the control gate n-well, and by replacing field oxide surrounding the cell with a heavily doped surface isolation region. The EPROM cell also utilizes a floating gate having an open-rectangular floating gate portion over the control gate region, and a narrow floating gate portion over the channel and intervening silicon substrate. The surface area of the open-rectangular floating gate portion ensures a high coupling ratio with the control gate region. The small width of the narrow floating gate portion prevents formation of a sizeable leakage path between the n-well and the source, channel, and drain. To conserve surface area, the EPROM cell also eliminates the p+ contact region and the PLDD region in the control gate well of the conventional EPROM design.
    Type: Grant
    Filed: April 1, 1998
    Date of Patent: January 21, 2003
    Assignee: National Semiconductor Corporation
    Inventors: Richard B. Merrill, Albert Bergemont, Min-hwa Chi
  • Publication number: 20030001196
    Abstract: A non-volatile memory device includes a tunnel oxide layer, a charge storage layer, a blocking insulating layer, and a gate electrode that are sequentially stacked, as well as an impurity diffusion layer in an active region at both sides of the gate electrode. The gate electrode crosses active regions between device isolation layers formed in a predetermined area of a semiconductor substrate, and an edge of the charge storage layer is extended to have a protruding part that protrudes from the gate electrode. In order to form a charge storage layer having a protruding part, a stack insulating layer including first to third insulating layers is formed in an active region between the device isolation layers formed in the substrate. A plurality of gate electrodes crossing the active region are formed on the stack insulating layer, and a sidewall spacer is formed on both sidewalls of the gate electrode.
    Type: Application
    Filed: June 27, 2002
    Publication date: January 2, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jung-Dal Choi, Jong-Woo Park, Seong-Soon Cho, Chang-Hyun Lee