Making Plural Insulated Gate Field Effect Transistors Having Common Active Region Patents (Class 438/279)
  • Publication number: 20100003797
    Abstract: Transistors are formed using pitch multiplication. Each transistor includes a source region and a drain region connected by strips of active area material separated by shallow trench isolaton structures. The shallow trench isolaton structures are formed by dielectric material filling trenches that are formed by pitch multiplication. During pitch multiplication, rows of spaced-apart mandrels are formed and spacer material is blanket deposited over the mandrels. The spacer material is etched to define spacers on sidewalls of the mandrels and the mandrels are subsequently removed, thereby leaving free-standing spacers. The spacers constitute a mask, through which an underlying substrate is etched to form the trenches and strips of active area material. The trenches are filled to form the shallow trench isolaton structures. The substrate is doped to form source, drain and channel regions and a gate is formed over the channel region.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 7, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Mike Smith
  • Publication number: 20090298272
    Abstract: More complete charge transfer is achieved in a CMOS or CCD imager by reducing the spacing in the gaps between gates in each pixel cell, and/or by providing a lightly doped region between adjacent gates in each pixel cell, and particularly at least between the charge collecting gate and the gate downstream to the charge collecting gate. To reduce the gaps between gates, an insulator cap with spacers on its sidewalls is formed for each gate over a conductive layer. The gates are then etched from the conductive layer using the insulator caps and spacers as hard masks, enabling the gates to be formed significantly closer together than previously possible, which, in turn increases charge transfer efficiency. By providing a lightly doped region on between adjacent gates, a more complete charge transfer is effected from the charge collecting gate.
    Type: Application
    Filed: June 26, 2009
    Publication date: December 3, 2009
    Inventor: Howard E. Rhodes
  • Patent number: 7625798
    Abstract: A semiconductor memory includes a plurality of memory cell transistors each having a laminated gate. A method of producing the semiconductor memory includes the steps of: forming a plurality of element separation regions for separating the memory cell transistors; forming a first conductive layer through a gate oxide film; etching the first conductive layer to form a plurality of slits; forming spacers on sidewall portions of each of the slits; forming a second conductive layer through an insulating film; etching the first conductive layer, the second conductive layer, and the insulating film using one single mask to form the laminated gate; implanting a conductive impurity into the semiconductor substrate exposed on both sides of the laminated gate to form a drain/source region; forming an interlayer insulating film; forming a contact hole penetrating the interlayer insulating film to reach the semiconductor substrate.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: December 1, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Shuichi Watanabe
  • Patent number: 7622373
    Abstract: A memory device includes a substrate, a first gate stack overlying the substrate, a second gate stack overlying the substrate and spaced apart from the first gate stack, an oxide region formed at a first depth within the substrate and between the first and second gate stacks, and an impurity doped region formed at a second depth within the substrate and between the first and second gate stacks, the first depth being lower than the second depth.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: November 24, 2009
    Assignee: Spansion LLC
    Inventors: Wei Zheng, Chungho Lee
  • Patent number: 7615445
    Abstract: A nonvolatile memory array includes floating gates that have an inverted-T shape in cross section along a plane that is perpendicular to the direction along which floating cells are connected together to form a string. Adjacent strings are isolated by shallow trench isolation structures. An array having inverted-T shaped floating gates may be formed in a self-aligned manner.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: November 10, 2009
    Assignee: SanDisk Corporation
    Inventors: Henry Chien, George Matamis, Tuan Pham, Masaaki Higashitani, Hidetaka Horiuchi, Jeffrey W. Lutze, Nima Mokhlesi, Yupin Kawing Fong
  • Patent number: 7608506
    Abstract: A semiconductor structure for a dynamic random access memory (DRAM) cell array that includes a plurality of vertical memory cells built on a semiconductor-on-insulator (SOI) wafer and a body contact in the buried dielectric layer of the SOI wafer. The body contact electrically couples a semiconductor body with a channel region of the access device of one vertical memory cell and a semiconductor substrate of the SOI wafer. The body contact provides a current leakage path that reduces the impact of floating body effects upon the vertical memory cell. The body contact may be formed by an ion implantation process that modifies the stoichiometry of a region of the buried dielectric layer so that the modified region becomes electrically conductive with a relatively high resistance.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Louis Lu-Chen Hsu, Jack Allan Mandelman
  • Patent number: 7608512
    Abstract: A semiconductor integrated circuit including an LDMOS device structure comprises a semiconductor layer with a pair of spaced-apart field effect gate structures over an upper surface of the semiconductor layer. First and second spaced-apart source regions of a first conductivity type are formed in a portion of the layer between the pair of gate structures with a first region of a second conductivity type formed there between. A lightly doped body region of a second conductivity type is formed in the semiconductor layer, extending from below the source regions to below the gate structures and extending a variable depth into the semiconductor layer. This body region is characterized by an inflection in depth in that portion of the body region extending below the first region.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: October 27, 2009
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Jun Cai
  • Publication number: 20090253238
    Abstract: A fabrication process for a FinFET device is provided. The process begins by providing a semiconductor wafer having a layer of conductive material such as silicon. A whole-field arrangement of fins is then formed from the layer of conductive material. The whole-field arrangement of fins includes a plurality of conductive fins having a uniform pitch and a uniform fin thickness. Next, a cut mask is formed over the whole-field arrangement of fins. The cut mask selectively masks sections of the whole-field arrangement of fins with a layout that defines features for a plurality of FinFET devices. The cut mask is used to remove a portion of the whole-field arrangement of fins, the portion being unprotected by the cut mask. The resulting fin structures are used to complete the fabrication of the FinFET devices.
    Type: Application
    Filed: April 8, 2008
    Publication date: October 8, 2009
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Zhonghai SHI, David WU, Jingrong ZHOU, Ruigang LI
  • Publication number: 20090251204
    Abstract: A temperature compensated voltage reference is created from an operational amplifier circuit having two substantially identical P-channel metal oxide semiconductor (P-MOS) transistors with each one having a different gate dopant. The different gate dopants result in different threshold voltages for each of the two otherwise substantially identical P-MOS transistors. The difference between these two threshold voltages is then used to create the voltage reference equal to the difference. The two P-MOS transistors are configured as a differential pair in the operational amplifier circuit and the output of the operational amplifier is used as the voltage reference. The transistor widths of two P-MOS transistors are adjusted to minimize voltage variation over a temperature range.
    Type: Application
    Filed: April 7, 2008
    Publication date: October 8, 2009
    Inventor: Gregory Dix
  • Patent number: 7589373
    Abstract: The present invention provides a semiconductor device, which includes a substrate and a sensing memory device. The substrate includes a metal-oxide-semiconductor transistor having a gate. The sensing memory device is disposed on the gate of the metal-oxide-semiconductor transistor and includes followings. The second conductive layer is covering the first conductive layer. The charge trapping layer is disposed between the first conductive layer and the second conductive layer, wherein the first conductive layer has a sensing region therein when charges stored in the charge trapping layer, and the sensing region is adjacent to the charge trapping layer. The first dielectric layer and the second dielectric layer are respectively disposed between the charge trapping layer and the first conductive layer and between the charge trapping layer and the second conductive layer, wherein a third dielectric layer is disposed between the gate and the sensing memory device.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: September 15, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Cha-Hsin Lin, Lurng-Shehng Lee
  • Patent number: 7585733
    Abstract: A method of manufacturing a semiconductor device includes the steps of: preparing a semiconductor substrate having first and second element forming regions, the first and second element forming regions divided by an element separating insulation film; forming a first gate insulation film on the semiconductor substrate; forming a predetermined film on the first gate insulation film; forming a protective film on the predetermined film in the first element forming region; forming a second gate insulation film in the second element forming region by deforming the predetermined film into an insulation film using the protective film as a mask; removing the protective film and the remaining predetermined film which is not deformed into the insulated film; and forming gate electrodes on the first and second gate insulation films which are exposed by removing the remaining predetermined film.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: September 8, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Koki Muto
  • Patent number: 7585720
    Abstract: A dual stress liner manufacturing method and device is described. Overlapping stress liner layers of opposite effect (e.g., tensile versus compression) may be deposited over portions of the device, and the uppermost overlapping layer may be polished down in a process that uses the bottom overlapping layer as a stopper. An insulating film may be deposited on the stress liner layers before the polishing, and another insulating film may be deposited above the first insulating film after the polishing. Contacts may be formed such that the contacts need only penetrate one stress liner layer to reach a transistor well or gate structure.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: September 8, 2009
    Assignee: Toshiba America Electronic Components, Inc.
    Inventor: Gaku Sudo
  • Publication number: 20090218627
    Abstract: A semiconductor structure and a method for fabricating the semiconductor structure include or provide a field effect device that includes a spacer shaped contact via. The spacer shaped contact via preferably comprises a spacer shaped annular contact via that is located surrounding and separated from an annular spacer shaped gate electrode at the center of which may be located a non-annular and non-spacer shaped second contact via. The annular gate electrode as well as the annular contact via and the non-annular contact via may be formed sequentially in a self-aligned fashion while using a single sacrificial mandrel layer.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Huilong Zhu
  • Publication number: 20090218635
    Abstract: A method for manufacturing a semiconductor device includes forming a transistor having a stacked structure in a peripheral circuit region to increase net die and forming a metal silicide layer over a source/drain region of a transistor formed over an upper layer to reduce a contact resistance.
    Type: Application
    Filed: June 9, 2008
    Publication date: September 3, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Yun Taek Hwang
  • Patent number: 7563654
    Abstract: A method for manufacturing a semiconductor device is disclosed. The method includes the steps of defining a trench into a field region of a semiconductor substrate having an active region and the field region; partially filing the trench with a flowable insulation layer; completely filling the trench with an isolation structure by depositing a close-packed insulation layer on the flowable insulation layer in the trench; etching through a portion of the close-packed insulation layer and etching into a partial thickness of the flowable insulation layer of the insulation structure to expose a portion of the active region; cleaning the resultant substrate having the active region relatively projected; forming spacers on etched portions of the flowable insulation layer where bowing occurs during the cleaning step; and forming gates on the active region and the insulation structure to border the exposed portion of the active region.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: July 21, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Sun Sheen, Seok Pyo Song, Sang Tae Ahn, Hyeon Ju An, Hyun Chul Sohn
  • Publication number: 20090179270
    Abstract: Electrostatic discharge (ESD) protection in high voltage semiconductor devices is disclosed that provides enhanced current isolation between transistor drains or sources by creating an isolation island surrounding the drains or sources. This isolation island can be a higher-doped region within which the drain/source lies. The junction between the higher doping of this island region and the surrounding substrates operates to limit the amount of current that passes through the drain/source. Additionally, oxide features may be used to create an island surrounding the drain/source contact. Again, this isolating effect makes the amount of current passing through the device more uniform, which protects the device from damage due to an ESD event.
    Type: Application
    Filed: March 11, 2008
    Publication date: July 16, 2009
    Inventors: Shui-Hung Chen, Jian-Hsing Lee, Yung-Tien Tsai, Anthony Oates
  • Patent number: 7560770
    Abstract: A MOSFET device comprises a semiconductor substrate having a gate area, a storage node contact area and a bit line contact area. A first groove is defined at a first depth in the gate area and a second groove is defined at a second depth in the bit line contact area. A recess gate is formed in the gate area of the semiconductor substrate including the first groove. A first junction area is formed in the storage node contact area of the semiconductor substrate. A second junction area is formed in the bit line contact area of the semiconductor substrate under the second groove.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: July 14, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Gyu-Seog Cho
  • Patent number: 7557024
    Abstract: More complete charge transfer is achieved in a CMOS or CCD imager by reducing the spacing in the gaps between gates in each pixel cell, and/or by providing a lightly doped region between adjacent gates in each pixel cell, and particularly at least between the charge collecting gate and the gate downstream to the charge collecting gate. To reduce the gaps between gates, an insulator cap with spacers on its sidewalls is formed for each gate over a conductive layer. The gates are then etched from the conductive layer using the insulator caps and spacers as hard masks, enabling the gates to be formed significantly closer together than previously possible, which, in turn increases charge transfer efficiency. By providing a lightly doped region between adjacent gates, a more complete charge transfer is effected from the charge collecting gate.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: July 7, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Publication number: 20090166741
    Abstract: Reducing external resistance of a multi-gate device using spacer processing techniques is generally described.
    Type: Application
    Filed: December 26, 2007
    Publication date: July 2, 2009
    Inventors: Ravi Pillarisetty, Uday Shah, Brian S. Doyle, Jack T. Kavalieros
  • Patent number: 7550350
    Abstract: The present disclosure relates to methods of forming a flash memory device. A plurality of cells, a plurality of select transistors, and a transistor are formed over a semiconductor substrate including a cell region and a peripheral region. An insulating layer is formed on the entire surface. Metal contact holes are etched and filled with a metal contact layer. Drain contact holes are also etched and filled with a drain contact layer. The order of the metal contact layer formation and drain contact layer formation can be reversed. A single chemical mechanical polishing step is performed to remove the top portions of the metal and drain contact layers, thereby exposing the top surface of the interlayer insulating layer and simultaneously forming both the metal and drain contacts.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 23, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Park
  • Publication number: 20090152589
    Abstract: A transistor structure that increases uniaxial compressive stress on the channel region of a tri-gate transistor comprises at least two semiconductor bodies formed on a substrate, each semiconductor body having a pair of laterally opposite sidewalls and a top surface, a common source region formed on one end of the semiconductor bodies, wherein the common source region is coupled to all of the at least two semiconductor bodies, a common drain region formed on another end of the semiconductor bodies, wherein the common drain region is coupled to all of the at least two semiconductor bodies, and a common gate electrode formed over the at least two semiconductor bodies, wherein the common gate electrode provides a gate electrode for each of the at least two semiconductor bodies and wherein the common gate electrode has a pair of laterally opposite sidewalls that are substantially perpendicular to the sidewalls of the semiconductor bodies.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 18, 2009
    Inventors: Titash Rakshit, Martin D. Giles, Tahir Ghani, Anand Murthy, Stephen M. Cea
  • Publication number: 20090140372
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a semiconductor device includes an array having at least one first region and at least one second region. The at least one first region includes at least one first device oriented in a first direction. The at least one second region includes at least one second device oriented in a second direction. The second direction is different than the first direction.
    Type: Application
    Filed: December 3, 2007
    Publication date: June 4, 2009
    Inventors: Uwe Hodel, Andreas Martin, Wolfgang Heinrigs
  • Patent number: 7537998
    Abstract: Forming salicide in a semiconductor device includes the steps of: forming a first and a second gate oxide film and in a non-salicide region and a salicide region, the first gate oxide film being thicker than the second gate oxide film; forming a conductive layer and a nitride based hard mask layer, and then selectively removing the conductive layer, the hard mask layer, the first gate oxide film, and the second gate oxide film, thereby forming gate electrodes and simultaneously exposing an active region of the salicide region; forming a spacer oxide film on an upper surface, except for the hard mask layer, of a second resultant structure; selectively removing the spacer oxide film, thereby forming a spacer and simultaneously exposing the active region of the salicide region; removing the hard mask layer; and forming a salicide film on the upper surfaces of the gate electrodes and on the surface of the active region in the salicide region.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: May 26, 2009
    Assignee: Magnachip Semiconductor, Ltd.
    Inventors: Joon Hyeon Lee, Woon Yong Kim
  • Patent number: 7534682
    Abstract: A semiconductor memory device includes first and second MOS transistors. The first MOS transistor is formed on a region enclosed by a first element isolating region and includes a first gate insulating film and a first gate electrode. The second MOS transistor is formed on a region enclosed by a second element isolating region and includes a second gate insulating film and a second gate electrode. The upper part of the first and second element isolating regions project from a semiconductor substrate and their corners are curved. The width from the position where the first element isolating region contacts the first gate insulating film to the top surface end of the first element isolating region is equal to the width from the position where the second element isolating region contacts the second gate insulating film to the top surface end of the second element isolating region.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: May 19, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Fumitaka Arai, Yasuhiko Matsunaga, Makoto Sakuma
  • Patent number: 7534665
    Abstract: In a semiconductor device manufacturing method of the present invention, a polysilicon film and a silicon nitride film are deposited on an upper surface of an epitaxial layer. Patterning is performed so that the polysilicon film and the silicon nitride film are left in regions in which a LOCOS oxide film is to be formed. Then, using steps of the polysilicon film and the silicon nitride film as alignment marks, a diffusion layer as drain regions is formed. Subsequently, the LOCOS oxide film is formed. This manufacturing method enables the diffusion layer to be formed with high position accuracy without being affected by a shape of the LOCOS oxide film.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: May 19, 2009
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Takashi Ogura
  • Publication number: 20090121293
    Abstract: The semiconductor device includes a semiconductor substrate, a plurality of source regions formed in a stripe shape on the semiconductor substrate, a plurality of gate electrodes formed in a stripe shape between a plurality of the stripe shaped source regions on the semiconductor substrate, an insulating film for covering the source regions and the gate electrodes, the insulating film including a contact hole for partly exposing the source regions in a part of a predetermined region with respect to a longitudinal direction of the source regions; and a source electrode formed on the insulating film and electrically connected to the source region via the contact hole.
    Type: Application
    Filed: April 10, 2006
    Publication date: May 14, 2009
    Applicant: Rohm Co., LTD.
    Inventor: Kenichi Yoshimochi
  • Patent number: 7531404
    Abstract: A method of forming a transistor gate stack having an annealed gate dielectric layer begins by providing a substrate that includes a first and second spacer separated by a trench. A conformal high-k gate dielectric layer is deposited on the substrate and within the trench with a thickness that ranges from 3 ? to 60 ?. Next, a capping layer is deposited on the high-k gate dielectric layer that substantially fills the trench and covers the high-k gate dielectric layer. The high-k gate dielectric layer is then annealed at a temperature that is greater than or equal to 600° C. The capping layer is removed to expose an annealed high-k gate dielectric layer. A metal layer is then deposited on the annealed high-k gate dielectric layer. A CMP process may be used to remove excess material and complete formation of the transistor gate stack.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: May 12, 2009
    Assignee: Intel Corporation
    Inventors: Sangwoo Pae, Jose Maiz, Justin Brask, Gilbert Dewey, Jack Kavalieros, Robert Chau, Suman Datta
  • Patent number: 7528444
    Abstract: An integrated circuit comprises a first drain region having a generally rectangular shape. First, second, third and fourth source regions have a generally rectangular shape and that are arranged adjacent to sides of the first drain region. A gate region is arranged between the first, second, third and fourth source regions and the first drain region. First, second, third and fourth substrate contact regions that are arranged adjacent to corners of the first drain region.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: May 5, 2009
    Assignee: Marvell World Trade Ltd.
    Inventor: Sehat Sutardja
  • Patent number: 7528042
    Abstract: A method for forming a dual gate oxide layer, including the steps of: a) forming a gate oxide layer on a semiconductor substrate; and b) increasing a thickness of a part of the gate oxide layer by performing a decoupled plasma treatment. Additional heat processes are not necessary because the dual gate oxide layer is formed with the decoupled plasma. Also, the channel characteristic of the semiconductor device can be ensured because the silicon substrate is not damaged. Furthermore, because the threshold voltage in the cell region is increased without additional channel ion implantation, the electrical characteristic of the semiconductor device can be enhanced.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: May 5, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Dae-Gyu Park, Tae-Ho Cha, In-Seok Yeo
  • Publication number: 20090096029
    Abstract: Disclosed is a semiconductor device wherein the switching speed of a transistor is increased. Specifically disclosed is a semiconductor device comprising a semiconductor layer formed on a part of an insulating layer, a first transistor formed on a lateral face of the semiconductor layer and having a first gate insulating film, a first gate electrode and two first impurity layers forming a source and a drain, and a second transistor formed on another lateral face of the semiconductor layer and having a second gate insulating film, a second gate electrode and two second impurity layers forming a source and a drain.
    Type: Application
    Filed: August 1, 2006
    Publication date: April 16, 2009
    Inventors: Kanji Otsuka, Fumio Mizuno, Munekazu Takano, Tamotsu Usami
  • Patent number: 7514325
    Abstract: Example embodiments of the present invention relate to a semiconductor device and methods of fabricating the same. Other example embodiments of the present invention relate to a fin-field effect transistor (Fin-FET) having a fin-type channel region and methods of fabricating the same. A Fin-FET having a gate all around (GAA) structure that may use an entire area around a fin as a channel region is provided. The Fin-FET having the GAA structure includes a semiconductor substrate having a body, a pair of support pillars and a fin. The pair of support pillars may protrude from the body. A fin may be spaced apart from the body and may have ends connected to and supported by the pair of support pillars. A gate electrode may surround at least a portion of the fin of the semiconductor substrate. The gate electrode may be insulated from the semiconductor substrate. A gate insulation layer may be interposed between the gate electrode and the fin of the semiconductor substrate.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: April 7, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Pil Kim, Jae-Woong Hyun, Yoon-Dong Park, Won-Joo Kim, Dong-Gun Park, Choong-Ho Lee
  • Patent number: 7514318
    Abstract: A method for fabricating non-volatile memory cells is provided. The method includes providing a substrate, forming a first dopant region in the substrate, forming a second dopant region in the first dopant region, growing a first isolation region over a first portion of the substrate, the first dopant region, and the second dopant region, growing a second isolation region over a second portion of the substrate, the first dopant region, and the second dopant region, defining a contact region in the second dopant region, the contact region extending between the first isolation region and the second isolation region, depositing a gate oxide layer to form a first gate dielectric atop the first isolation region and a portion of the contact region, and overlaying a gate conductive layer on top of the gate oxide layer to form a first gate conductor atop the first gate dielectric.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: April 7, 2009
    Assignee: Micrel, Inc.
    Inventor: Paul M. Moore
  • Publication number: 20090065840
    Abstract: A flash memory and a manufacturing method of the same includes a shallow trench isolation and an active region formed at a substrate, a plurality of stacked gates formed on and/or over the active region, a deep implant region formed at a lower portion of the shallow trench isolation and the active region between the stacked gates and a shallow implant region formed at a surface of the active region between the stacked gates.
    Type: Application
    Filed: August 28, 2008
    Publication date: March 12, 2009
    Inventor: Sung-Kun Park
  • Patent number: 7498253
    Abstract: A local interconnection wiring structure method for forming the same reduces the likelihood of a short between a local interconnection layer of gate electrodes and an active region by forming a common aperture so as to have a determined aperture between the local interconnection layer and the active region on an insulation film of a semiconductor substrate. Methods of forming the local interconnection wire can include forming a first etching mask pattern that has a size longer than a length between inner ends of adjacent gate electrodes formed on a semiconductor substrate and covered with an insulation film. The etching mask simultaneously has a length the same as or shorter than the length between outer ends of the gate electrodes. The insulation film exposed in the first etching mask pattern is subsequently etched so that the insulation film remains higher than a highest height of the gate electrodes, so as to form a recess pattern.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: March 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Un Kwon, Yong-Sun Ko
  • Patent number: 7491605
    Abstract: A method for making a semiconductor structure of a memory device includes forming a capacitor having a gate dielectric between a gate conductor and a dopant region of a first conductivity type located in another dopant region of a second conductivity type, forming a bipolar transistor having a base region of the first conductivity type, and forming a field-effect transistor having a gate conductor coupled to the gate conductor of the capacitor, wherein the dopant region and the base region of the first conductivity type are formed in the same step to avoid additional cost in forming the capacitor.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: February 17, 2009
    Assignee: Micrel, Inc.
    Inventor: Paul M. Moore
  • Publication number: 20090001482
    Abstract: Provided is a transistor of a semiconductor device and a method for fabricating the same. A transistor of a semiconductor device may include: a semiconductor substrate having an active region defined by an isolation layer; a recess trench formed in the active region and disposed to cross the semiconductor substrate in one direction; and a gate line formed in a straight line pattern, overlapping the recess trench and disposed to cross the recess trench at approximately right angles.
    Type: Application
    Filed: December 18, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Chun Soo Kang
  • Publication number: 20080305595
    Abstract: There is provided a method of forming a semiconductor device. According to the method, a gate pattern having a capping insulating layer is formed on a substrate, a first etch stop layer is conformably formed. A first interlayer insulating layer having a planarized upper surface, a second etch stop layer and a second interlayer insulating layer are sequentially formed on the first etch stop layer. A first opening and a second opening are formed. The first opening penetrates the second interlayer insulating layer, the second etch stop layer, the first interlayer insulating layer, the first etch stop layer and the capping insulating pattern to expose the gate electrode, and the second opening penetrates the second interlayer insulating layer, the second etch stop layer, the first interlayer insulating layer and the first etch stop layer to expose the substrate. The forming the first and second openings includes at least one selective etching process and a nonselective etching process.
    Type: Application
    Filed: June 2, 2008
    Publication date: December 11, 2008
    Inventor: Hyung-Joon Kwon
  • Patent number: 7462903
    Abstract: Methods for fabricating semiconductor structures and contacts to semiconductor structures are provided. A method comprises providing a substrate and forming a gate stack on the substrate. The gate stack is formed having a first axis. An impurity doped region is formed within the substrate adjacent to the gate stack and a dielectric layer is deposited overlying the impurity doped region. A via is etched through the dielectric layer to the impurity doped region. The via has a major axis and a minor axis that is perpendicular to and shorter than the major axis. The via is etched such that the major axis is disposed at an angle greater than zero and no greater than 90 degrees from the first axis. A conductive contact is formed within the via.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: December 9, 2008
    Assignee: Spansion LLC
    Inventor: Joseph William Wiseman
  • Patent number: 7456439
    Abstract: A semiconductor device may comprise a plurality of memory cells. A memory cell may comprise a thyristor, at least a portion of which is formed in a pillar of semiconductor material. The pillar may comprise sidewalls defining a cylindrical circumference of a first diameter. In a particular embodiment, the pillars associated with the plurality of memory cells may define rows and columns of an array. In a further embodiment, a pillar may be spaced by a first distance of magnitude up to the first diameter relative to a neighboring pillar within its row. In an additional further embodiment, the pillar may be spaced by a second distance of a magnitude up to twice the first diameter, relative to a neighboring pillar within its column.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: November 25, 2008
    Assignee: T-RAM Semiconductor, Inc.
    Inventor: Andrew E. Horch
  • Publication number: 20080283922
    Abstract: A semiconductor device includes a first conductivity type well formed on a semiconductor substrate, and a first transistor and a second transistor formed on the well. The first transistor has first pocket regions containing a first conductivity type impurity and first source/drain regions containing a second conductivity type impurity, and the second transistor has second pocket regions containing a first conductivity type impurity and second source/drain regions containing a second conductivity type impurity, and executes an analog function. A concentration of the first conductivity type impurity contained in the source-side and the drain-side second pocket regions is lower than a concentration of the first conductivity type impurity included in the first pocket regions.
    Type: Application
    Filed: January 28, 2008
    Publication date: November 20, 2008
    Inventors: Kyoji YAMASHITA, Daisaku IKOMA
  • Patent number: 7445993
    Abstract: A method of fabricating non-volatile memory is provided. A plurality of first memory cells is formed on the memory cell region of a substrate. Each first memory cell comprises a first composite layer, a first gate and a cap layer. There is a gap between two adjacent first memory cells. Then, a plurality of gates is formed in the respective gaps. The gates together with a second composite layer form a plurality of second memory cells. The second memory cells and the first memory cells together constitute a memory cell column. In the meantime, a plurality of gate structures is also formed on the peripheral circuit region. The gates in the gaps and the gates in the peripheral circuit region are fabricated using different conductive layers.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: November 4, 2008
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Wei-Chung Tseng, Houng-Chi Wei, Saysamone Pittikoun
  • Publication number: 20080251848
    Abstract: A semiconductor device is provided that includes a plurality of patterns. Each pattern includes at least one field effect transistor. Each field effect transistor includes a source region, a drain region, a channel region, and a gate region formed above the channel region. A portion of the plurality of patterns is formed in a single active area of a semiconductor substrate, where the area delimited by an isolation region. One of the source region and the drain region of each adjacent pattern are formed in said active area.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 16, 2008
    Applicant: STMicroelectronics (Crolles2) SAS
    Inventors: Bertrand Borot, Richard Ferrant
  • Patent number: 7429524
    Abstract: The present invention provides a method of manufacturing a transistor device, a transistor device, and a method for manufacturing an integrated circuit. In one aspect, the method of manufacturing a transistor device includes providing a gate structure (140) over a substrate (110). An insulating layer (310) is formed over the gate structure (140), and openings (710) to the substrate (110) are formed therein, thereby removing a portion of the gate structure (140). The openings (710) are filled with a conductor (1410), thereby forming an interconnect (1510).
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: September 30, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Andrew Marshall, Tito Gelsomini, Harvey Edd Davis
  • Patent number: 7422949
    Abstract: The present invention relates to a high voltage transistor and method of manufacturing the same.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: September 9, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-kwang Yu, Hee-seog Jeon, Seung-beom Yoon, Yong-tae Kim
  • Patent number: 7422945
    Abstract: In a unit cell, a first conductive type active region and a second conductive type active region are provided. Those two active regions extend in a first direction. Each of the active regions have first and second ends thereof. The first end of the second conductive type active region opposes the second end of the first conductive type active region. A conductive pattern is provided to extend in the first direction across the first conductive type active region and the second conductive type active region. A first contact region is arranged adjacent the first end of the first conductive type active region in the first direction. A second contact region is arranged adjacent the second end of the second conductive type active region in the first direction.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: September 9, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Hirohisa Masuda, Hirokazu Ishikawa
  • Patent number: 7417291
    Abstract: Disclosed is a technique for reducing the leak current by reducing contamination of metal composing a polymetal gate of a MISFET. Of a polycrystalline silicon film, a WN film, a W film, and a cap insulating film formed on a gate insulating film on a p-type well (semiconductor substrate), the cap insulating film, the W film, and the WN film are etched and the over-etching of the polycrystalline silicon film below them is performed. Then, a sidewall film is formed on sidewalls of these films. Thereafter, after etching the polycrystalline silicon film with using the sidewall film as a mask, a thermal treatment is performed in an oxidation atmosphere, by which a light oxide film is formed on the sidewall of the polycrystalline silicon film. As a result, the contamination on the gate insulating film due to the W and the W oxide can be reduced, and also, the diffusion of these materials into the semiconductor substrate (p-type well) and the resultant increase of the leak current can be prevented.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: August 26, 2008
    Assignee: Elpida Memory, Inc.
    Inventors: Hiroshi Kujirai, Kousuke Okuyama, Kazuhiro Hata, Kiyonori Oyu, Ryo Nagai, Hiroyuki Uchiyama, Takahiro Kumauchi, Teruhisa Ichise
  • Patent number: 7410851
    Abstract: A power semiconductor switching device such as a power MOSFET that includes breakdown voltage enhancement regions formed by self-alignment.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: August 12, 2008
    Assignee: International Rectifier Corporation
    Inventors: Timothy Henson, Jianjun Cao
  • Publication number: 20080180160
    Abstract: A dual gate drain extension field effect transistor assembly comprises a first FET device having a source, a gate and a drain extension region. The first FET device's gate is electrically coupled to a constant voltage source. A second FET device has a source, a drain, and a gate, and the second FET's drain is electrically to the first FET's source.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Andreas Augustin
  • Patent number: 7405110
    Abstract: The invention includes methods of forming implant regions between and/or under transistor gates. In one aspect, a pair of transistor gates is partially formed, and a layer of conductive material is left extending between the transistor gates. A dopant is implanted through the conductive material to form at least one implant region between and/or beneath the partially formed transistor gates, and subsequently the conductive material is removed from between the gates. The gates can be incorporated into various semiconductor assemblies, including, for example, DRAM assemblies.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: July 29, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Phong N. Nguyen
  • Patent number: 7399671
    Abstract: Sacrificial plugs for forming contacts in integrated circuits, as well as methods of forming connections in integrated circuit arrays are disclosed. Various pattern transfer and etching steps can be used to create densely-packed features and the connections between features. A sacrificial material can be patterned in a continuous zig-zag line pattern that crosses word lines. Planarization can create parallelogram-shaped blocks of material that can overlie active areas to form sacrificial plugs, which can be replaced with conductive material to form contacts.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: July 15, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Byron Neville Burgess, John K. Zahurak