Plural Doping Steps Patents (Class 438/305)
  • Patent number: 11605723
    Abstract: Some embodiments include integrated memory having an array of access transistors. Each access transistor includes an active region which has a first source/drain region, a second source/drain region and a channel region. The active regions of the access transistors include semiconductor material having elements selected from Groups 13 and 16 of the periodic table. First conductive structures extend along rows of the array and have gating segments adjacent the channel regions of the access transistors. Heterogenous insulative regions are between the gating segments and the channel regions. Second conductive structures extend along columns of the array, and are electrically coupled with the first source/drain regions. Storage-elements are electrically coupled with the second source/drain regions. Some embodiments include a transistor having a semiconductor oxide channel material. A conductive gate material is adjacent to the channel material.
    Type: Grant
    Filed: July 28, 2020
    Date of Patent: March 14, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Durai Vishak Nirmal Ramaswamy
  • Patent number: 11398410
    Abstract: A method for manufacturing a CMOS device includes: forming a gate structure and gate sidewalls of the CMOS device, wherein the material of the gate sidewalls is silicon nitride; depositing a silicon nitride film directly on the gate structure and the gate sidewalls, wherein the depositing is performed via atomic layer deposition (ALD); and performing a photolithography process to define an ion implantation region.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: July 26, 2022
    Assignee: SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION
    Inventors: Runling Li, Xuefei Chen
  • Patent number: 11374108
    Abstract: A semiconductor device includes a substrate, an isolation structure, a first gate structure, a first gate spacer, and an epitaxy structure. The substrate has a semiconductor fin. The isolation structure is over the substrate and laterally surrounds the semiconductor fin. The first gate structure is over the substrate and crosses the semiconductor fin. The first gate spacer extends along a sidewall of the first gate structure, in which the first gate spacer has a stepped sidewall distal to the first gate structure. The epitaxy structure is over the semiconductor fin, in which the epitaxy structure is in contact with the stepped sidewall of the first gate spacer.
    Type: Grant
    Filed: August 8, 2020
    Date of Patent: June 28, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Lun Chen, Bau-Ming Wang, Chun-Hsiung Lin
  • Patent number: 11282861
    Abstract: A dynamic logic circuit including a first transistor within a first device stratum of a substrate; and a second transistor within a second device stratum of the substrate that is different from the first device stratum, wherein the first transistor and the second transistor share a common gate electrode. A method including disposing a second semiconductor body of a second transistor on a first semiconductor body of a first transistor in a first device stratum on a substrate, the second semiconductor body defining a second device stratum; and forming a common gate electrode on each of the semiconductor body and the second semiconductor body.
    Type: Grant
    Filed: December 26, 2015
    Date of Patent: March 22, 2022
    Assignee: Intel Corporation
    Inventors: Donald W. Nelson, Rishabh Mehandru
  • Patent number: 11211323
    Abstract: The present disclosure provides a device includes a first gate structure segment and a collinear second gate structure segment, as well as a third gate structure segment and a collinear fourth gate structure segment. An interconnection extends from the first gate structure segment to the fourth gate structure segment. The interconnection is disposed above the first gate structure segment and the fourth gate structure segment. The interconnection may be formed on or co-planar with a contact layer of the semiconductor device.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: December 28, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Chu Liu, Shiao-Chian Yeh, Hong-Jang Wu, Kuei-Shun Chen
  • Patent number: 11186883
    Abstract: The present invention relates to a self-collapsed protective coating composition and use thereof. In particular, the invention relates to a self-collapsed protective coating composition for hot stamping of steel material, which can protect the surface of steel material from oxide scale and provide ease for subsequent welding.
    Type: Grant
    Filed: July 1, 2019
    Date of Patent: November 30, 2021
    Assignee: Henkel AG & Co. KGaA
    Inventors: Yakun Zhu, Xueting Qiu
  • Patent number: 11133319
    Abstract: The present application discloses a semiconductor device and a method for fabricating the semiconductor device. The semiconductor device includes a substrate; a plurality of landing pads disposed over the substrate, at least one of the plurality of landing pads comprising a protruding portion of a capacitor plug and a first spacer over the protruding portion, wherein a width of the first spacer is larger than a width of the capacitor plug; a plurality of bit line contacts disposed over the substrate and a plurality of bit lines respectively disposed over the plurality of bit line contacts. The bit line is an undulating stripe extending between two adjacent capacitor contacts; and a plurality of capacitor structures respectively disposed over the plurality of landing pads.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: September 28, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Jar-Ming Ho
  • Patent number: 11056558
    Abstract: A semiconductor device according to an embodiment includes a semiconductor layer having a first plane and a second plane opposite to the first plane; a gate electrode; a gate insulating layer provided between the first plane and the gate electrode; and a pair of first p-type impurity regions provided in the semiconductor layer on both sides of the gate electrode, containing boron, carbon, and germanium, having a bond structure of boron and carbon, having a first boron concentration and a first depth in a direction from the first plane toward the second plane, and having a distance between the first p-type impurity regions being a first distance.
    Type: Grant
    Filed: February 15, 2019
    Date of Patent: July 6, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Takashi Izumida, Takeshi Shimane, Tadayoshi Uechi
  • Patent number: 11018219
    Abstract: The invention discloses a P-type MOSFET, a channel region consisting of an N-well is formed in the semiconductor substrate covered with a gate structure; the N-well is formed by overlaying an annealed phosphorus-implanted region, an annealed first arsenic-implanted region and an annealed second arsenic-implanted region, and the first arsenic-implanted region and the second arsenic-implanted region are overlaid to form a threshold voltage regulation region; the implantation depth of the first arsenic-implanted region is greater than that of the second arsenic-implanted region; and an amorphous layer is formed by the first arsenic-implanted region on the semiconductor substrate to improve the implantation uniformity of the second arsenic-implanted region and to decrease the peak surface doping concentration of the second arsenic-implanted region located on the surface of the semiconductor substrate. The invention further discloses a method for manufacturing a P-type MOSFET.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: May 25, 2021
    Assignee: Shanghai Huali Integrated Circuit Corporation
    Inventor: Zhonghua Li
  • Patent number: 10971399
    Abstract: Embodiments of the invention are directed to a method of forming an interconnect structure. A non-limiting example of the method includes forming a transistor over a substrate, forming a dielectric region over the transistor and the substrate, and forming a trench positioned in the dielectric region and over a source or drain (S/D) region of the transistor, wherein a sidewall of the trench includes a gate spacer of the transistor. A volume of the trench is increased by removing the gate spacer from the sidewall of the trench. A first liner and a conductive plug are deposited within a bottom portion of the trench.
    Type: Grant
    Filed: January 21, 2019
    Date of Patent: April 6, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Heng Wu, Dechao Guo, Junli Wang, Ruqiang Bao
  • Patent number: 10944000
    Abstract: In an example embodiment, a method comprises: forming first spacers adjacent to a memory cell formed on a substrate, each of the first spacers being formed in direct contact with the substrate, where forming the memory cell includes forming a control gate electrode and a tunnel oxide layer over the substrate and subsequently etching completely at least the control gate electrode and the tunnel oxide layer that are disposed beyond the memory cell; forming an interlayer dielectric layer over the memory cell and the first spacers; forming a contact hole through the interlayer dielectric layer to at least reach the substrate; subsequent to forming the contact hole, forming a second spacer adjacent to one of the first spacers, where a height of the second spacer is greater than a height of the first spacers, the second spacer substantially contacting the substrate and the interlayer dielectric layer; and forming a contact in the contact hole.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: March 9, 2021
    Assignee: Cypress Semiconductor Corporation
    Inventors: Angela T. Hui, Wenmei Li, Minh Van Ngo, Amol Ramesh Joshi, Kuo-Tung Chang
  • Patent number: 10930745
    Abstract: A semiconductor structure includes a substrate, a gate structure disposed on the substrate, a source structure and a drain structure disposed on opposite sides of the gate structure, and a first dielectric layer. The gate structure includes a gate electrode disposed on the substrate and a gate metal layer electrically connected to the gate electrode and serving as a gate field plate. The source structure includes a source electrode disposed on the substrate and a first source metal layer electrically connected to the source electrode and extending in the direction from the gate electrode to the drain structure. The first dielectric layer is disposed on the gate metal layer. The electric potential of the first source metal layer is different from that of the gate metal layer. The first source metal layer exposes at least a portion of the first dielectric layer directly above the gate metal layer.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: February 23, 2021
    Assignee: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Shin-Cheng Lin, Chih-Yen Chen, Chia-Ching Huang
  • Patent number: 10840346
    Abstract: Embodiments include Multiple Gate Field-Effect Transistors (MuGFETs) and methods of forming them. In an embodiment, a structure includes a substrate, a fin, masking dielectric layer portions, and a raised epitaxial lightly doped source/drain (LDD) region. The substrate includes the fin. The masking dielectric layer portions are along sidewalls of the fin. An upper portion of the fin protrudes from the masking dielectric layer portions. A first spacer is along a sidewall of a gate structure over a channel region of the fin. A second spacer is along the first spacer. The raised epitaxial LDD region is on the upper portion of the fin, and the raised epitaxial LDD region adjoins a sidewall of the first spacer and is disposed under the second spacer. The raised epitaxial LDD region extends from the upper portion of the fin in at least two laterally opposed directions and a vertical direction.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: November 17, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yong-Yan Lu, Hou-Yu Chen, Shyh-Horng Yang
  • Patent number: 10825722
    Abstract: A method of manufacturing a semiconductor structure includes forming a precursor structure on a substrate. The precursor structure includes a first conductive structure, a first spacer layer, and a spacer oxide layer sequentially on the substrate. The spacer oxide layer exposes a top surface of the first spacer layer. The spacer oxide layer is then recessed. A second spacer layer is formed to cover the spacer oxide layer and the first spacer layer. A portion of the second spacer layer and a portion of the spacer oxide layer are then etched to expose the lateral portion of the first spacer layer. The remaining spacer oxide layer is etched to form an air gap between the first spacer layer and the second spacer layer. A third spacer layer is formed on the lateral portion of the first spacer layer to seal the air gap.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: November 3, 2020
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Shih-Fan Kuan
  • Patent number: 10797158
    Abstract: A MOS transistor is produced on and in an active zone and included a source region and a drain region. The active zone has a width measured transversely to a source-drain direction. A conductive gate region of the MOS transistor includes a central zone and, at a foot of the central zone, at least one stair that extends beyond the central zone along at least an entirety of the width of the active zone.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: October 6, 2020
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Julien Delalleau, Christian Rivero
  • Patent number: 10756210
    Abstract: A transistor device including a transistor including a body disposed on a substrate, a gate stack contacting at least two adjacent sides of the body and a source and a drain on opposing sides of the gate stack and a channel defined in the body between the source and the drain, wherein a conductivity of the channel is similar to a conductivity of the source and the drain. An input/output (IO) circuit including a driver circuit coupled to the logic circuit, the driver circuit including at least one transistor device is described. A method including forming a channel of a transistor device on a substrate including an electrical conductivity; forming a source and a drain on opposite sides of the channel, wherein the source and the drain include the same electrical conductivity as the channel; and forming a gate stack on the channel.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: August 25, 2020
    Assignee: Intel Corporation
    Inventors: Chia-Hong Jan, Walid M. Hafez, Hsu-Yu Chang, Neville L. Dias, Rahul Ramaswamy, Roman W. Olac-Vaw, Chen-Guan Lee
  • Patent number: 10685840
    Abstract: The present disclosure generally relates to semiconductor structures and, more particularly, to gate structures and methods of manufacture. The structure includes: a plurality of gate structures comprising a gate cap, sidewall spacers and source and drain regions; source and drain metallization features extending to the source and drain regions; and a liner extending along an upper portion of the sidewall spacers of at least one of the plurality of gate structures.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: June 16, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jiehui Shu, Hui Zang
  • Patent number: 10672907
    Abstract: A dummy gate structure straddling at least one semiconductor fin is formed on a substrate. Active semiconductor regions and raised active semiconductor regions may be formed. A planarization dielectric layer is formed over the at least one semiconductor fin, and the dummy gate structure is removed to provide a gate cavity. Electrical dopants in the channel region can be removed by outgassing during an anneal, thereby lowering the concentration of the electrical dopants in the channel region. Alternately or additionally, carbon can be implanted into the channel region to deactivate remaining electrical dopants in the channel region. The threshold voltage of the field effect transistor can be effectively controlled by the reduction of active electrical dopants in the channel region. A replacement gate electrode can be subsequently formed in the gate cavity.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: June 2, 2020
    Assignee: International Business Machines Corporation
    Inventors: Murshed M. Chowdhury, Brian J. Greene, Arvind Kumar
  • Patent number: 10600641
    Abstract: Implementations described herein relate to selective oxidation processes for semiconductor device manufacturing. In one implementation, the process includes delivering a substrate having a semiconductor device comprising at least a silicon material and a silicon germanium material formed thereon to a process chamber. Process variables are determined based upon the germanium concentration of the silicon germanium material and a desired oxide thickness and a selective oxidation process is performed utilizing the determined process variables.
    Type: Grant
    Filed: July 6, 2018
    Date of Patent: March 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Agus Sofian Tjandra
  • Patent number: 10573740
    Abstract: A method of producing a semiconductor device includes the following steps (A), (B), and (C). In the step (A), a semiconductor epitaxial wafer is prepared. The semiconductor epitaxial wafer includes a body region. In the step (B), a channel layer is formed by epitaxial growth. In the step (C), a gate insulation film is formed on the channel layer. The channel layer contains impurity at a concentration ranging from 1×1018 cm?3 to 1×1019 cm?3, inclusive, and has a thickness ranging from 10 nm to 100 nm, inclusive. In the steps of (B) and (C), a condition for the epitaxial growth and a condition for forming the gate insulation film are controlled so that a thickness distribution in the channel layer and a thickness distribution in the gate insulation film are positively correlated to each other.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: February 25, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Tsutomu Kiyosawa
  • Patent number: 10529832
    Abstract: Embodiments are directed to a method of forming a semiconductor device and resulting structures having a shallow, abrupt and highly activated tin (Sn) extension implant junction. The method includes forming a semiconductor fin on a substrate. A gate is formed over a channel region of the semiconductor fin. A Sn extension implant junction is formed on a surface of the semiconductor fin in the channel region.
    Type: Grant
    Filed: December 19, 2016
    Date of Patent: January 7, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Bruley, Marinus J. P. Hopstaken, Kam-Leung Lee
  • Patent number: 10522642
    Abstract: A method includes forming a gate structure on a substrate, forming a seal spacer covering a sidewall of the gate structure, forming a sacrificial spacer covering a sidewall of the seal spacer, forming source/drain regions sandwiching a channel region that is under the gate structure, and depositing a contact etch stop layer covering a sidewall of the sacrificial spacer. The method further includes removing the sacrificial spacer to form a trench, wherein the trench exposes a sidewall of the contact etch stop layer and the sidewall of the seal spacer, and depositing an inter-layer dielectric layer, wherein the inter-layer dielectric layer caps the trench, thereby defining an air gap inside the trench.
    Type: Grant
    Filed: June 15, 2017
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO. LTD.
    Inventors: Wei-Yang Lee, Feng-Cheng Yang, Chung-Te Lin, Yen-Ming Chen
  • Patent number: 10516044
    Abstract: A memory device includes a number of memory cells and a dielectric layer formed over the memory cells. The memory device also includes contacts formed in the dielectric layer and spacers formed adjacent the side surfaces of the contacts. The spacers may inhibit leakage currents from the contacts.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: December 24, 2019
    Assignee: Cypress Semiconductor Corporation
    Inventors: Angela T. Hui, Wenmei Li, Minh Van Ngo, Amol Ramesh Joshi, Kuo-Tung Chang
  • Patent number: 10411120
    Abstract: A method for manufacturing a semiconductor device includes forming a stacked configuration of first and second semiconductor layers on a semiconductor substrate, wherein the stacked configuration comprises a repeating arrangement of a second semiconductor layer stacked on a first semiconductor layer, forming a plurality of dummy gates spaced apart from each other on the stacked configuration, wherein the plurality of dummy gates cover a portion of the stacked configuration in a channel region, performing an implantation of a semiconductor material on exposed portions of the stacked configuration in a source/drain region, wherein the implantation increases a concentration of the semiconductor material in the exposed portions of the stacked configuration, and selectively removing first semiconductor layers having an increased concentration of the semiconductor material from the source/drain region, wherein the removed first semiconductor layers correspond in position to the first semiconductor layers in the cha
    Type: Grant
    Filed: July 20, 2017
    Date of Patent: September 10, 2019
    Assignee: International Business Machines Corporation
    Inventors: Robin Hsin-Kuo Chao, Michael A. Guillorn, Chi-Chun Liu, Shogo Mochizuki, Chun W. Yeung
  • Patent number: 10396176
    Abstract: Techniques related to forming selective gate spacers for semiconductor devices and transistor structures and devices formed using such techniques are discussed. Such techniques include forming a blocking material on a semiconductor fin, disposing a gate having a different surface chemistry than the blocking material on a portion of the blocking material, forming a selective conformal layer on the gate but not on a portion of the blocking material, and removing exposed portions of the blocking material.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: August 27, 2019
    Assignee: Intel Corporation
    Inventors: Scott B. Clendenning, Szuya S. Liao, Florian Gstrein, Rami Hourani, Patricio E. Romero, Grant M. Kloster, Martin M. Mitan
  • Patent number: 10319855
    Abstract: A method for reducing series resistance for transistors includes forming a conductive gate over and insulated from a semiconductor substrate, forming source and/or drain extension regions within the substrate and adjacent to respective source and/or drain regions, and forming source and/or drain regions within the substrate. The source and/or drain extension regions are formed from a material alloyed with a first dopant and a second dopant, the first dopant configured to increase a lattice structure of the material forming the source and/or drain extension regions.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: June 11, 2019
    Assignee: International Business Machines Corporation
    Inventors: Mona A. Ebrish, Oleg Gluschenkov
  • Patent number: 10312145
    Abstract: A method includes forming a plurality of fins on a substrate and a dummy gate structure over the fins. A spacer layer is formed over the dummy gate structure and the fins. The spacer layer is recessed to form asymmetrically recessed spacers along sidewalls of each of the fins, thereby exposing a portion of each of the fins. A source/drain epitaxy is grown on the exposed portions of the plurality of fins, a first source/drain epitaxy on a first fin being asymmetrical to a second source/drain epitaxy on a second fin. A device includes a first and second fin on a substrate with a gate structure formed over the first and second fins. An epitaxy if formed over the first fin and the second fin on the same side of the gate structure, where the height of the first epitaxy is greater than the height of the second epitaxy.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: June 4, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Lien Huang
  • Patent number: 10297608
    Abstract: The present disclosure relates to an integrated chip having an inter-digitated capacitor, and an associated method of formation. In some embodiments, the integrated chip has a plurality of upper electrodes separated from a substrate by a first dielectric layer. A plurality of lower electrodes vertically extend from between the plurality of upper electrodes to locations embedded within the substrate. A charge trapping dielectric layer is arranged between the substrate and the plurality of lower electrodes and between the plurality of upper electrodes and the plurality of lower electrodes. The charge trapping dielectric layer has a plurality of discrete segments respectively lining opposing sidewalls and a lower surface of one of the plurality of lower electrodes.
    Type: Grant
    Filed: April 7, 2017
    Date of Patent: May 21, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wan-Chen Chen, Yu-Hsiung Wang, Han-Yu Chen
  • Patent number: 10256237
    Abstract: An integrated circuit (IC) device includes a first and a second fin-type active region protruding from a first region and a second region, respectively, of a substrate, a first and a second gate line, and a first and a second source/drain region. The first fin-type active region has a first top surface and a first recess has a first depth from the first top surface. The first source/drain region fills the first recess and has a first width. The second fin-type active region has a second top surface and a second recess has a second depth from the second top surface. The second depth is greater than the first depth. The second source/drain region fills the second recess and has a second width. The second width is greater than the first width.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: April 9, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-han Lee, Sun-ghil Lee, Myung-il Kang, Jeong-yun Lee, Seung-hun Lee, Hyun-jung Lee, Sun-wook Kim
  • Patent number: 10205011
    Abstract: Some embodiments relate to a method for forming a semiconductor device. The method includes forming a source region of a field effect transistor structure in a semiconductor substrate. The method further includes forming an oxide layer. The method also includes incorporating atoms of at least one atom type of a group of atom types into at least a part of the source region of the field effect transistor structure after forming the oxide layer. The group of atom types includes chalcogen atoms, silicon atoms and argon atoms.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: February 12, 2019
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Schulze, Philip Christoph Brandt, Andre Rainer Stegner
  • Patent number: 10181397
    Abstract: Semiconductor devices and a method for forming the same are provided. In various embodiments, a method for forming a semiconductor device includes receiving a semiconductor substrate including a channel. An atmosphere-modulation layer is formed over the channel. An annealing process is performed to form an interfacial layer between the channel and the atmosphere-modulation layer.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: January 15, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chun-Heng Chen, Hui-Cheng Chang, Hong-Fa Luan, Xiong-Fei Yu, Chia-Wei Hsu
  • Patent number: 10170364
    Abstract: A method for forming strained fins includes etching trenches in a bulk substrate to form fins, filling the trenches with a dielectric fill and recessing the dielectric fill into the trenches to form shallow trench isolation regions. The fins are etched above the shallow trench isolation regions to form a staircase fin structure with narrow top portions of the fins. Gate structures are formed over the top portions of the fins. Raised source ad drain regions are epitaxially grown on opposite sides of the gate structure. A pre-morphization implant is performed to generate defects in the substrate to couple strain into the top portions of the fins.
    Type: Grant
    Filed: December 13, 2017
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Juntao Li, Chun-Chen Yeh
  • Patent number: 10121665
    Abstract: A semiconductor device has an active region that includes a semiconductor layer. A transistor is formed in and above the active region, wherein the transistor has an implanted halo region that includes a halo dopant species and defines a halo dopant profile in the semiconductor layer. An implanted carbon species is positioned in the semiconductor layer, wherein the implanted carbon species defines a carbon species profile in the semiconductor layer that is substantially the same as the halo dopant profile of the implanted halo region in the semiconductor layer.
    Type: Grant
    Filed: July 11, 2017
    Date of Patent: November 6, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Chi Dong Nguyen, Klaus Hempel
  • Patent number: 10020186
    Abstract: Implementations described herein relate to selective oxidation processes for semiconductor device manufacturing. In one implementation, the process includes delivering a substrate having a semiconductor device comprising at least a silicon material and a silicon germanium material formed thereon to a process chamber. Process variables are determined based upon the germanium concentration of the silicon germanium material and a desired oxide thickness and a selective oxidation process is performed utilizing the determined process variables.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: July 10, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Agus Sofian Tjandra
  • Patent number: 9923083
    Abstract: A method for fabricating a semiconductor structure includes forming a cut mask over a set of fin hard masks formed on a substrate. At least one gap defined at least in part by the cut mask is formed, and a first dielectric layer is formed within the at least one gap. A plurality of fins is formed, and the first dielectric layer is recessed to form an isolation region. A liner is deposited along exposed surfaces of the recessed first dielectric layer and the plurality of fins. A second dielectric layer is formed within a region defined by the liner and the plurality of fins. At least a portion of the second dielectric layer is removed to reveal the plurality of fins, wherein the portion of the second dielectric layer that is removed is based on the liner serving as an endpoint layer.
    Type: Grant
    Filed: September 9, 2016
    Date of Patent: March 20, 2018
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Peng Xu
  • Patent number: 9837534
    Abstract: A semiconductor device includes: a first substrate on which a first field effect transistor is provided; and a second substrate on which a second field effect transistor of a second conductive type is provided; the first and second substrates being bonded to each other at the substrate faces thereof on which the first and second field transistors are provided, respectively; the first field effect transistor and the second field effect transistor being electrically connected to each other.
    Type: Grant
    Filed: October 27, 2015
    Date of Patent: December 5, 2017
    Assignee: Sony Corporation
    Inventor: Takashi Yokoyama
  • Patent number: 9837514
    Abstract: Various particular embodiments include an integrated circuit (IC) structure having: a stack region; and a silicon substrate underlying and contacting the stack region, the silicon substrate including: a silicon region including a doped subcollector region; a set of isolation regions overlying the silicon region; a base region between the set of isolation regions and below the stack region, the base region including an intrinsic base contacting the stack region, an extrinsic base contacting the intrinsic base and the stack region, and an amorphized extrinsic base contact region contacting the extrinsic base; a collector region between the set of isolation regions; an undercut collector-base region between the set of isolation regions and below the base region; and a collector contact region contacting the collector region under the intrinsic base and the collector-base region via the doped subcollector region.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: December 5, 2017
    Assignee: International Business Machines Corporation
    Inventors: Joseph R. Greco, Qizhi Liu, Aaron L. Vallett, Robert F. Vatter
  • Patent number: 9818761
    Abstract: Methods and devices are provided to fabricate semiconductor devices with, e.g., SiGe-on-insulator structures. For example, a method for fabricating a semiconductor device includes forming a crystalline buffer layer on a substrate, forming an epitaxial semiconductor layer on the crystalline buffer layer, patterning the epitaxial semiconductor layer to form a patterned epitaxial semiconductor layer, and oxidizing a surface region of the crystalline buffer layer selective to the patterned epitaxial semiconductor layer to convert the surface region of the crystalline buffer layer to an insulating layer. The insulating layer insulates the patterned epitaxial semiconductor layer from the crystalline buffer layer. In one example structure, the substrate is a silicon substrate, the crystalline buffer layer is formed of germanium, the epitaxial semiconductor layer is formed of silicon-germanium, and the insulating layer is formed of amorphous germanium-oxide.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: November 14, 2017
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Effendi Leobandung, Devendra K. Sadana, Min Yang
  • Patent number: 9768058
    Abstract: One illustrative method disclosed herein includes, among other things, forming a plurality of trenches in a layer of insulating material, performing at least one damage-causing process operation to selectively damage portions of the insulating material adjacent the trenches, forming a conductive line in each of the trenches, after forming the conductive lines, performing a selective etching process to selectively remove at least portions of the damaged portions of the insulating material and thereby define an air gap positioned laterally adjacent each of the conductive lines, and forming a capping layer of material above the conductive lines, the air gap and the undamaged portion of the layer of insulating material.
    Type: Grant
    Filed: August 10, 2015
    Date of Patent: September 19, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Zhiguo Sun, Qiang Fang, Christian Witt
  • Patent number: 9735259
    Abstract: Various particular embodiments include an integrated circuit (IC) structure including: a stack region; and a silicon substrate underlying and contacting the stack region, the silicon substrate including: a silicon region including a doped subcollector region; a set of isolation regions overlying the silicon region; a base region between the set of isolation regions and below the stack region, the base region including an intrinsic base contacting the stack region, an extrinsic base contacting the intrinsic base and the stack region, and an amorphized extrinsic base contact region contacting the extrinsic base; a collector region between the set of isolation regions; an undercut collector-base region between the set of isolation regions and below the base region; and a collector contact region contacting the collector region under the intrinsic base and the collector-base region via the doped subcollector region.
    Type: Grant
    Filed: August 25, 2015
    Date of Patent: August 15, 2017
    Assignee: International Business Machines Corporation
    Inventors: Joseph R. Greco, Qizhi Liu, Aaron L. Vallett, Robert F. Vatter
  • Patent number: 9735012
    Abstract: A method of forming a semiconductor device is provided including co-implanting a halo species and carbon in a semiconductor layer with a finite tilt angle with respect to a direction perpendicular to the surface of the semiconductor layer. Furthermore, a semiconductor device is provided including an N-channel transistor comprising a halo region made of a halo species with a dopant profile formed in a semiconductor layer and a carbon species implanted in the semiconductor layer with substantially the same dopant profile as the dopant profile of the halo region.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: August 15, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Chi Dong Nguyen, Klaus Hempel
  • Patent number: 9680027
    Abstract: A nickelide material with reduced resistivity is provided as source/drain contact surfaces in both NMOS and PMOS technology. The nickelide material layer may be a ternary material such as NiInAs, and may be formed from a binary material previously formed in the source/drain regions. The binary material may be the channel material or it may be an epitaxial layer formed over the channel material. The same ternary nickelide material may be used as the source/drain contact surface in both NMOS and PMOS transistors. Various binary or ternary channel materials may be used for the NMOS transistors and for the PMOS transistors.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: June 13, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Richard Kenneth Oxland, Mark van Dal
  • Patent number: 9644523
    Abstract: A device is provided for protecting at least one metallic surface against discolorations under the action of heat. The device includes a metallic surface with a lacquer applied a lacquer applied thereto to the metallic surfaces and then stoving the metallic surface while sealing the metallic surface against oxygen contact to form a permanently effective oxygen barrier.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: May 9, 2017
    Assignee: DR. ING. H.C.F. PORSCHE AKTIENGESELLSCHAFT
    Inventor: Frank Haunstetter
  • Patent number: 9637662
    Abstract: The present invention relates to a method for applying a weldable anti-scale coat to steel, comprising producing a thin silicatic layer free from metal pigments on the metallic steel surface, and subsequently applying and curing a wet film of a curable, pigment-containing paint; wherein said paint comprises, in solution in a liquid phase, a binder, comprising hydrolysates and/or condensates of at least one silane/siloxane and/or at least one silicone resin; at least one particulate metallic pigment of AI and at least one particulate metallic pigment of Bi. The present invention also relates to application of an organic paint film system obtainable in the method of the invention, a paint formula useful in the method of the invention, a hot forming operation on steel coated in the method of the invention; and a hot-formed steel component suitable for electrical spot welding processes.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: May 2, 2017
    Assignee: Henkel AG & Co. KGaA
    Inventors: Marcel Roth, Reiner Wark, Thomas Moeller, Eva Wilke, Uta Sundermeier, Manuela Goeske-Krajnc
  • Patent number: 9614090
    Abstract: A semiconductor device includes at least one first gate structure and at least one second gate structure on a semiconductor substrate. The at least one first gate structure has a flat upper surface extending in a first direction and a first width in a second direction perpendicular to the first direction. The at least one second gate structure has a convex upper surface extending in the first direction and a second width in the second direction, the second width being greater than the first width.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: April 4, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-youn Kim, Sang-jung Kang, Ji-hwan An
  • Patent number: 9583380
    Abstract: In one example, a method includes forming a mask layer above or in a dielectric material. The dielectric material is exposed to photon radiation in an ambient atmosphere comprising a carbon gettering agent to generate damaged portions of the dielectric material. The mask layer blocks the photon radiation. The damaged portions of the dielectric material are removed.
    Type: Grant
    Filed: July 17, 2014
    Date of Patent: February 28, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Errol Todd Ryan
  • Patent number: 9583594
    Abstract: A semiconductor device and a method of fabricating the same, the semiconductor device includes a hard mask layer and a plurality of spacers. The hard mask layer is disposed on a target layer and has a first material and a second material. The spacers are disposed on the hard mask layer, wherein a first portion of the spacers is disposed on the first material, and a second portion of the spacers is disposed on the second material.
    Type: Grant
    Filed: August 19, 2015
    Date of Patent: February 28, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Duan Quan Liao, Yikun Chen, Ching Hwa Tey
  • Patent number: 9548379
    Abstract: An asymmetrical finFET device includes at least one semiconductor fin on an upper surface of a semiconductor substrate. The fin extends along a length of the semiconductor substrate to define a fin length. A plurality of gate structures wrap around the sidewalls and upper fin surface of the fin. The plurality of gate structures includes at least one desired gate structure surrounded by at least one sacrificial gate structure. A first source/drain region is formed adjacent a first sidewall of the at least one desired gate structure, and a second source/drain region is formed adjacent a second sidewall of the at least one desired gate structure opposite the first sidewall. The dimensions of the first and second source/drain regions are asymmetrical with respect to one another.
    Type: Grant
    Filed: November 24, 2015
    Date of Patent: January 17, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Andres Bryant, Huiming Bu, Sivananda K. Kanakasabapathy, Tenko Yamashita
  • Patent number: 9537004
    Abstract: A system and method for forming semiconductor structures is disclosed. An embodiment comprises forming a high diffusibility layer adjacent to a gate stack and forming a low diffusibility layer adjacent to the high diffusibility layer. After these two layers are formed, an anneal is performed to diffuse dopants from the high diffusibility layer underneath the gate stack to help form a channel region.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: January 3, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chii-Ming Wu, Chien-Chang Su, Hsien-Hsin Lin, Yi-Fang Pai
  • Patent number: RE49525
    Abstract: An semiconductor device is provided. A fin is disposed on a substrate, extending in a lengthwise direction. A first recess is disposed on a sidewall of the fin so that the fin and the first recess is arranged in a straight line along the lengthwise direction. A gate structure crosses the fin in the first direction crossing the lengthwise direction. A spacer is disposed on sidewalk of the gate structure. A source/drain region is disposed in the first recess. The source/drain region is formed under the spacer. A silicide layer is disposed on the source/drain region. The silicide layer and the source/drain region fill the first recess.
    Type: Grant
    Filed: August 6, 2020
    Date of Patent: May 9, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-Il Bae, Bomsoo Kim, Yong-Min Cho