Grooved And Refilled With Deposited Dielectric Material Patents (Class 438/424)
  • Patent number: 10115725
    Abstract: A hard mask material is removed from an SOI substrate without using a chemical mechanical polish (CMP) process. A blocking material is deposited on a hard mask material after a deep trench reactive ion etch (RIE) process. The blocking material on top of the hard mask material is removed. A selective wet etching process is used to remove the hard mask material. Trench recess depth is effectively controlled.
    Type: Grant
    Filed: May 14, 2012
    Date of Patent: October 30, 2018
    Assignee: International Business Machines Corporation
    Inventor: Oh-Jung Kwon
  • Patent number: 10096689
    Abstract: Embodiments of the present invention provide methods for fabricating a semiconductor device. One method may include providing a semiconductor substrate with fins etched into the semiconductor substrate; forming a gate structure and depositing an insulating material around the gate structure; selectively etching an active device area; forming a set of spacers on the sides of the gate structure; growing a doped source and drain region; depositing an insulator over an upper surface of a deposited etch stop layer; and depositing a metal into a contact opening to form one or more contacts.
    Type: Grant
    Filed: September 15, 2016
    Date of Patent: October 9, 2018
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 10096510
    Abstract: To provide a semiconductor device having improved performance. The semiconductor device has a first insulating film formed on the main surface of a semiconductor substrate and a second insulating film formed on the first insulating film. The semiconductor device further has a first opening portion penetrating through the second insulating film and reaching the first insulating film, a second opening portion penetrating through the first insulating film and reaching the semiconductor substrate, and a trench portion formed in the semiconductor substrate. A first opening width of the first opening portion and a second opening width of the second opening portion are greater than a trench width of the trench portion. The trench portion is closed by a third insulating film while leaving a space in the trench portion.
    Type: Grant
    Filed: March 9, 2018
    Date of Patent: October 9, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Tadashi Yamaguchi
  • Patent number: 10059860
    Abstract: The present invention relates to a polishing composition used in application in which a polishing object having a cobalt element-containing layer is polished, including: a cobalt dissolution inhibitor; and a pH adjusting agent, wherein the polishing composition has a pH of 4 or more and 12 or less, and the cobalt dissolution inhibitor is at least one member selected from the group consisting of an organic compound having an ether bond, an organic compound having a hydroxyl group, an organic compound having a carboxyl group and having a molecular weight of 130 or more, and salts thereof. According to the present invention, there is provided a polishing composition capable of suppressing the dissolution of a cobalt element-containing layer when a polishing object having a cobalt element-containing layer is polished.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: August 28, 2018
    Assignee: FUJIMI INCORPORATED
    Inventor: Akihito Yasui
  • Patent number: 10056395
    Abstract: A method of manufacturing an integrated circuit including forming trenches into the surface of a crystalline wafer and the trenches extending along a <100> lattice direction is disclosed. Such wafer can experience less deformation due to less stress induced when the trenches are filled using a spin-on dielectric material. Thus, the overlay issue caused by wafer shape change is resolved.
    Type: Grant
    Filed: October 11, 2016
    Date of Patent: August 21, 2018
    Assignee: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chi-Pin Lu, Pei-Ci Jhang, Fu-Hsing Chou, Chih-Hsiung Lee
  • Patent number: 10056367
    Abstract: Described herein are semiconductor devices and methods of forming the same. In some aspects, methods of forming a semiconductor device includes forming a gate stack having a self-aligning cap and a gate metal on a substrate, depositing a resist mask onto the semiconductor device, and patterning the resist mask such that the gate stack is exposed. Additionally, methods include removing the self-aligning cap and the gate metal from the exposed gate stack, depositing a resistor metal on the semiconductor device such that a metal resistor is formed within the exposed gate stack, and forming a bar contact and contact via above the metal resistor.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: August 21, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 10043853
    Abstract: According to one embodiment, a magnetic memory device includes a first insulating film provided on a semiconductor region, and having a portion located in a memory cell array area and thicker than a portion located in a peripheral circuit area, a plurality of conductive plugs located in the memory cell array area and provided in the first insulating film, stacked structures located in the memory cell array area, provided on the conductive plugs, and each having layers including a magnetic layer, and transistors located in the peripheral circuit area, and each including a gate electrode provided on the semiconductor region and covered with the first insulating film, wherein a thickness t0 from a main surface of the semiconductor region to a lower surface of each stacked structure is greater than a predetermined value.
    Type: Grant
    Filed: March 20, 2017
    Date of Patent: August 7, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Kuniaki Sugiura, Masahiko Hasunuma, Masatoshi Yoshikawa
  • Patent number: 10037993
    Abstract: A semiconductor device includes a semiconductor substrate; an isolation region over the semiconductor substrate; and two fin features over the semiconductor substrate and protruding above the isolation region. The two fin features are generally aligned along their longitudinal direction. The device further includes two gate structures disposed over a top surface of the isolation region and engaging top surface and sidewalls of the two fin features respectively. The device further includes source and drain features disposed over the fin features and on both sides of each of the gate structures. The device further includes a first structure disposed between and protruding above the fin features, wherein a bottom surface of the first structure is below the top surface of the isolation region.
    Type: Grant
    Filed: November 14, 2016
    Date of Patent: July 31, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD
    Inventors: Chih-Han Lin, Chao-Cheng Chen, Jr-Jung Lin, Ming-Ching Chang
  • Patent number: 10026655
    Abstract: An integrated circuit product includes an NFET FinFET device having a first fin that is made entirely of a first semiconductor material and a PFET FinFET device that includes a second fin having an upper portion and a lower portion, wherein the lower portion is made of the first semiconductor material and the upper portion is made of a second semiconductor material that is different from the first semiconductor material. A silicon nitride liner is positioned on and in contact with the lower portion of the second fin, wherein the silicon nitride liner is not present on or adjacent to the upper portion of the second fin or on or adjacent to any portion of the first fin.
    Type: Grant
    Filed: July 12, 2017
    Date of Patent: July 17, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Min Gyu Sung, Chanro Park, Ruilong Xie, Hoon Kim
  • Patent number: 9997452
    Abstract: Apparatuses and methods with conductive plugs for a memory device are described. An example method includes: forming a plurality of shallow trench isolations elongating from a first surface of a semiconductor substrate toward a second surface of the semiconductor substrate; thinning the semiconductor substrate until first surfaces of the plurality of shallow trench isolations are exposed; forming plurality of via holes, each via hole of the plurality of via holes through a corresponding one of the plurality of shallow trench isolations; and filling the plurality of via holes with a conductive material to form a plurality of conductive plugs.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: June 12, 2018
    Assignee: Micron Technology, Inc.
    Inventor: Shiro Uchiyama
  • Patent number: 9991256
    Abstract: A method for manufacturing a semiconductor structure includes forming a plurality of dummy semiconductor fins on a substrate. The dummy semiconductor fins are adjacent to each other and are grouped into a plurality of fin groups. The dummy semiconductor fins of the fin groups are recessed one group at a time.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: June 5, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Che-Cheng Chang, Po-Chi Wu, Chih-Han Lin, Horng-Huei Tseng
  • Patent number: 9972622
    Abstract: A method for manufacturing a CMOS device includes providing a semiconductor base layer epitaxially growing a germanium layer on the semiconductor base layer, the germanium layer having thickness above a critical thickness such that an upper portion of the germanium layer is strain relaxed. The method also includes performing an anneal step, thinning the germanium layer and patterning the germanium layer into fin structures or into vertical wire structures. The method further includes laterally embedding the fin structures or vertical wire structures in a dielectric layer and providing a masking layer covering the first region, leaving the second region exposed. The method yet further includes selectively removing the fin structure or vertical wire structure in the second region up until the main upper surface, resulting in a trench and growing a protrusion in the trench by epitaxially growing one or more semiconductor layers in the trench.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: May 15, 2018
    Assignee: IMEC VZW
    Inventors: Liesbeth Witters, Anabela Veloso
  • Patent number: 9960169
    Abstract: In a method of manufacturing a semiconductor device, mask patterns are formed on a semiconductor substrate. An organic layer is formed on the semiconductor substrate to cover the mask patterns. An upper portion of the organic layer is planarized using a polishing composition. The polishing composition includes an oxidizing agent and is devoid of abrasive particles.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: May 1, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Seok Lee, Byoung-Ho Kwon, Sang-Kyun Kim, Yun-Jeong Kim, Seung-Ho Park, Hao Cui, In-Seak Hwang
  • Patent number: 9936918
    Abstract: A method of providing a metal coating on a substrate (10), and electrically insulating sections/parts of the metal coated substrate from each other. A substrate is provided with an insulating material in the substrate, the insulating first material extending through the thickness of the substrate and protruding above one surface of the substrate. It forms an enclosed section/portion (14) of the substrate. A protective structure (15) is provided on the insulating material such that it covers the entire circumference thereof. The insulating material is selectively etched to create an under-etch (18) under the protective structure. Finally conductive material (19) is deposited to provide a metal coating over the substrate, whereby the under-etch will provide a disruption in the deposited metal coating, thereby electrically insulating the enclosed section from the surrounding substrate.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: April 10, 2018
    Assignee: SILEX MICROSYSTEMS AB
    Inventors: Edvard Kalvesten, Thorbjorn Ebefors, Anders Eriksson
  • Patent number: 9917003
    Abstract: A semiconductor device includes a semiconductor substrate and a trench isolation. The trench isolation is located in the semiconductor substrate, and includes a bottom portion and a top portion. The bottom portion has a lining oxide layer, a negatively-charged liner and a first silicon oxide. The lining oxide layer is peripherally enclosed by the semiconductor substrate, the negatively-charged liner is peripherally enclosed by the lining oxide layer, and the first silicon oxide is peripherally enclosed by the negatively-charged liner. The top portion adjoins the bottom portion, and has a second silicon oxide peripherally enclosed by and contacting the semiconductor substrate.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: March 13, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Hsien Chou, Hung-Ling Shih, Tsun-Kai Tsao, Ming-Huei Shen, Kuo-Hwa Tzeng, Yeur-Luen Tu
  • Patent number: 9899526
    Abstract: A fin-type field effect transistor comprising a substrate, fins, insulators, at least one gate stack and strained material portions is described. The insulators are disposed in trenches of the substrate and between the fins. The upper portion of the fin is higher than a top surface of the insulator and the upper portion has a substantially vertical profile, while the lower portion of the fin is lower than the top surface of the insulator and the lower portion has a tapered profile. The at least one gate stack is disposed over the fins and on the insulators. The strained material portions are disposed on two opposite sides of the at least one gate stack.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: February 20, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Wei Chiu, Li-Te Hsu, Chung-Fan Huang, Chih-Hsiang Chu
  • Patent number: 9853130
    Abstract: A method of modifying a strain state of a first channel structure in a transistor is provided, said structure being formed from superposed semiconducting elements, the method including providing on a substrate at least one first semiconducting structure formed from a semiconducting stack including alternating elements based on at least one first semiconducting material and elements based on at least one second semiconducting material different from the first material; then removing portions of the second material from the first semiconducting structure by selective etching, the removed portions forming at least one empty space; filling the empty space with a dielectric material; forming a straining zone on the first semiconducting structure based on a first strained material having an intrinsic strain; and performing thermal annealing to cause the dielectric material to creep, and to cause a change in a strain state of the elements based on the first material.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: December 26, 2017
    Assignee: Commissariat á l'énergie atomique et aux énergies alternatives
    Inventors: Sylvain Maitrejean, Emmanuel Augendre, Jean-Charles Barbe, Benoit Mathieu, Yves Morand
  • Patent number: 9837417
    Abstract: A semiconductor device includes a semiconductor substrate having a first region and a second region, a plurality of first semiconductor fins in the first region, a plurality of second semiconductor fins in the second region, a first solid-state dopant source layer within the first region on the semiconductor substrate, a first insulating buffer layer on the first solid-state dopant source layer, a second solid-state dopant source layer within the second region on the semiconductor substrate, a second insulating buffer layer on the second solid-state dopant source layer and on the first insulating buffer layer, a first fin bump in the first region, and a second fin bump in the second region. The first fin bump includes a first sidewall spacer and the second fin bump comprises a second sidewall spacer. The first sidewall spacer has a structure that is different from that of the second sidewall spacer.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: December 5, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Yu-Cheng Tung
  • Patent number: 9837362
    Abstract: Fabrication of radio-frequency (RF) devices involves providing a field-effect transistor (FET), forming one or more electrical connections to the FET, forming one or more dielectric layers over at least a portion of the electrical connections, and disposing an electrical element at least partially above the one or more dielectric layers, the electrical element being in electrical communication with the FET via the one or more electrical connections. RF device fabrication further involves applying an interface material over at least a portion of the one or more dielectric layers, removing at least a portion of the interface material to form a trench above at least a portion of the electrical element, and covering at least a portion of the interface material and the trench with a substrate layer to form a cavity, the electrical element being disposed at least partially within the cavity.
    Type: Grant
    Filed: May 13, 2016
    Date of Patent: December 5, 2017
    Assignee: Skyworks Solutions, Inc.
    Inventors: David T. Petzold, David Scott Whitefield
  • Patent number: 9831095
    Abstract: A method for performing selective etching of a semiconductor material in solution having the following successive steps: a) providing a substrate having a layer of amorphous semiconductor material to be etched and a layer of crystalline semiconductor material; b) oxidizing the surfaces of the layers of amorphous semiconductor material and of crystalline semiconductor material so as to form a first protective layer at the surface of the amorphous semiconductor material and a second protective layer at the surface of the crystalline semiconductor material; c) etching the first protective layer and the layer of amorphous semiconductor material with an alkaline etching solution, the etch rate v1 of the first protective layer being higher than the etch rate v2 of the second protective layer.
    Type: Grant
    Filed: November 25, 2016
    Date of Patent: November 28, 2017
    Assignee: COMMISSARIAT À L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Virginie Loup, Pascal Besson
  • Patent number: 9779964
    Abstract: The present invention relates to a thermal processing method for wafer. A wafer is placed in an environment filled with a gas mixture comprising oxygen gas and deuterium gas, and a rapid thermal processing process is performed on a surface of the wafer. As a result, a denuded zone is formed on the surface of the wafer, deuterium atoms, which may be released to improve characteristics at an interface of semiconductor devices in a later fabrication process, are held in the wafer, and bulk micro-defects are formed far from the semiconductor devices.
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: October 3, 2017
    Assignee: ZING SEMICONDUCTOR CORPORATION
    Inventors: Deyuan Xiao, Richard R. Chang
  • Patent number: 9761658
    Abstract: A semiconductor structure includes a semiconductor substrate, a first active area, a second active area, a first trench, at least one raised portion, and a first dielectric. The first active area is in the semiconductor substrate. The second active area is in the semiconductor substrate. The first trench is in the semiconductor substrate and separates the first active area and the second active area from each other. The raised portion is raised from the semiconductor substrate and is disposed in the first trench. The first dielectric is in the first trench and covers the raised portion.
    Type: Grant
    Filed: May 21, 2015
    Date of Patent: September 12, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cong-Min Fang, Kang-Min Kuo, Shi-Min Wu
  • Patent number: 9748111
    Abstract: A method for fabricating a semiconductor structure includes following steps. First, a first layer, a second layer and a third layer are sequentially formed on the substrate. The second layer is conformally disposed on the top surface of the first layer. The second layer and the first layer have different compositions, and the third layer and the second layer also have different compositions. Then, a planarizing process is performed on the third layer until portions of the second layer are exposed. Afterwards, hydrofluoric acid and aqueous oxidant are concurrently or sequentially provided to the remaining second and third layers. Finally, an etch back process is carried out to remove all the second layer and portions of the first layer.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: August 29, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yi-Liang Ye, Kuang-Hsiu Chen, Chueh-Yang Liu, Yu-Ren Wang
  • Patent number: 9741623
    Abstract: One illustrative method disclosed herein includes, among other things, performing a first trench etching process to define an upper portion of a first fin for an NFET device and an upper portion of a second fin for a PFET device, performing a first conformal deposition process to form a conformal etch stop layer around the upper portion of both the first and second fins, with the NFET device masked, performing a second trench etching process to define a lower portion of the second fin, and performing a second conformal deposition process to form a conformal protection layer adjacent the upper portion of the second fin and on sidewalls of the lower portion of the second fin.
    Type: Grant
    Filed: August 18, 2015
    Date of Patent: August 22, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Min Gyu Sung, Chanro Park, Ruilong Xie, Hoon Kim
  • Patent number: 9728635
    Abstract: A method of fabricating a vertical field effect transistor includes forming a base layer on a doped layer that is formed on a substrate, and forming fin hard masks above the base layer. Spacers are formed adjacent to each side of each of the fin hard masks above the base layer. A width dimension of each of the spacers is the same. Gaps between the spacers are filled with oxide prior to removing the spacers. The spacers are removed to leave gaps of the same width on each side of each of the fin hard masks. An etch in the gaps forms a plurality of fins below the fin hard masks. A height dimension of each of the plurality of fins is the same and a space between two of the plurality of fins is different than a second space between two others of the plurality of fins.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: August 8, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Peng Xu
  • Patent number: 9721834
    Abstract: A method for filling gaps between structures includes forming a plurality of high aspect ratio structures adjacent to one another with gaps, forming a first dielectric layer on tops of the structures and conformally depositing a spacer dielectric layer over the structures. The spacer dielectric layer is removed from horizontal surfaces and a protection layer is conformally deposited over the structures. The gaps are filled with a flowable dielectric, which is recessed to a height along sidewalls of the structures by a selective etch process such that the protection layer protects the spacer dielectric layer on sidewalls of the structures. The first dielectric layer and the spacer dielectric layer are exposed above the height using a higher etch resistance than the protection layer to maintain dimensions of the spacer layer dielectric through the etching processes. The gaps are filled by a high density plasma fill.
    Type: Grant
    Filed: April 6, 2016
    Date of Patent: August 1, 2017
    Assignees: International Business Machines Corporation, Globalfoundries Inc.
    Inventors: Huiming Bu, Andrew M. Greene, Balasubramanian Pranatharthiharan, Ruilong Xie
  • Patent number: 9710592
    Abstract: A metal interconnect structure, a system and method of manufacture, wherein a design layout includes results in forming at least two trenches of different trench depths. The method uses a slightly modified BEOL processing stack to prevent metal interconnect structures from encroaching upon an underlying hard mask dielectric or metallic hard mask layer. Thus two trench depths are obtained by tuning parameters of the system and allowing areas exposed by two masks to have deeper trenches. Here, the BEOL Stack processing is modified to enable two trench depths by using a hardmask that defines the lowest etch depth. The design may be optimized by software which optimizes a design for electromigration (or setup timing violations) by utilizing secondary trench depths, checking space opportunity around wires, pushing wires out to generate space and converting a wire to deep trench wire.
    Type: Grant
    Filed: October 14, 2015
    Date of Patent: July 18, 2017
    Assignee: International Business Machines Corporation
    Inventors: Stephen E. Greco, Vincent J. McGahay, Rasit O. Topaloglu
  • Patent number: 9711521
    Abstract: The present disclosure relates to a semiconductor substrate including, a first silicon layer comprising an upper surface with protrusions extending vertically with respect to the upper surface. An isolation layer is arranged over the upper surface meeting the first silicon layer at an interface, and a second silicon layer is arranged over the isolation layer. A method of manufacturing the semiconductor substrate is also provided.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yong-En Syu, Kuan-Chi Tsai, Kuo-Yu Cheng, Keng-Yu Chen, Shih-Shiung Chen, Shao-Yu Chen, Wei-Kung Tsai, Yu-Lung Yeh
  • Patent number: 9711413
    Abstract: A semiconductor device includes a gate, which comprises a gate electrode and a gate dielectric underlying the gate electrode, a spacer formed on a sidewall of the gate electrode and the gate dielectric, a buffer layer having a first portion underlying the gate dielectric and the spacer and a second portion adjacent the spacer wherein the top surface of the second portion of the buffer layer is recessed below the top surface of the first portion of the buffer layer, and a source/drain region substantially aligned with the spacer. The buffer layer preferably has a greater lattice constant than an underlying semiconductor substrate. The semiconductor device may further include a semiconductor-capping layer between the buffer layer and the gate dielectric, wherein the semiconductor-capping layer has a smaller lattice constant then the buffer layer.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Shang-Chih Chen, Ching-Wei Tsai, Ta-Wei Wang, Pang-Yen Tsai
  • Patent number: 9659932
    Abstract: A semiconductor device having a plurality of fins including at least one first fin and at least one second fin formed on a semiconductor substrate is provided. Each of the first fin and second fin has a first portion and a second portion. A gate electrode structure overlies the first portion of the plurality of fins. The gate electrode structure includes a gate electrode, and a gate dielectric layer between the gate electrode and the plurality of fins, A first electrode overlies the second portion of the plurality of fins and the first electrode is in electrical contact with the second portion of the plurality of fins. The gate electrode structure is in direct physical contact with the first portion of the first fin and the gate electrode structure is spaced apart from the first portion of the second fin.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: May 23, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yu-Lien Huang
  • Patent number: 9646875
    Abstract: Some embodiments include methods of forming memory arrays. An assembly is formed which has an upper level over a lower level. The lower level includes circuitry. The upper level includes semiconductor material within a memory array region, and includes insulative material in a region peripheral to the memory array region. First and second trenches are formed to extend into the semiconductor material. The first and second trenches pattern the semiconductor material into a plurality of pedestals. The second trenches extend into the peripheral region. Contact openings are formed within the peripheral region to extend from the second trenches to the first level of circuitry. Conductive material is formed within the second trenches and within the contact openings. The conductive material forms sense/access lines within the second trenches and forms electrical contacts within the contact openings to electrically couple the sense/access lines to the lower level of circuitry.
    Type: Grant
    Filed: November 3, 2015
    Date of Patent: May 9, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Niccolo Righetti, Sara Vigano, Emelio Camerlenghi
  • Patent number: 9613869
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: April 4, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 9601624
    Abstract: A method of fabricating a semiconductor device where: (i) the fins are formed over a porous semiconductor material layer (for example, a silicon layer); and (ii) the porous semiconductor layer is then oxidized to form an insulator layer (for example, a SiO2 buried oxide layer). The pores in the porous semiconductor layer facilitate reliable oxidation of the insulator layer by allowing penetration of gaseous oxygen (O2) throughout the layer as it is oxidized to form the insulator layer. In some of these embodiments, a thin non-porous semiconductor layer is located over the porous semiconductor layer (prior to its oxidation) to allow strained epitaxial growth of material to be used in making source regions and drain regions of the finished semiconductor device (for example, a FINFET).
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: March 21, 2017
    Assignee: GLOBALFOUNDRIES INC
    Inventors: Stephen W. Bedell, Joel P. de Souza, Keith E. Fogel, Alexander Reznicek, Devendra K. Sadana, Dominic J. Schepis
  • Patent number: 9577051
    Abstract: A method of fabricating a semiconductor device includes forming a first set of gate electrodes over a substrate, adjacent gate electrodes of the first set of gate electrodes being separated by a first gap width. Each gate electrode of the first set of gate electrodes has a first gate width. The method further includes forming a second set of gate electrodes over the substrate, adjacent gate electrodes of the second set of gate electrodes being separated by a second gap width less than the first gap width. Each gate electrode of the second set of gate electrodes has a second gate width greater than the first gate width.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: February 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lee-Wee Teo, Ming Zhu, Hui-Wen Lin, Bao-Ru Young, Harry-Hak-Lay Chuang
  • Patent number: 9570562
    Abstract: A method of planarizing a polysilicon gate are provided, comprising: growing a polysilicon gate layer on a substrate with trenches; depositing an oxide layer on the polysilicon gate layer; oxidizing the top portion of the polysilicon gate layer from the flat surface of the oxide layer, so as to form a silicon oxide interlayer in the top portion of the polysilicon gate layer; the bottom of the silicon oxide interlayer is aligned with or lower than the low-lying areas of surface of the polysilicon gate layer; removing the oxide layer and the silicon oxide interlayer, so as to obtain a flat surface of the polysilicon gate layer and avoid a series of problems resulted from the uneven surface in the subsequent processes.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: February 14, 2017
    Assignee: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventors: Tong Lei, Junhua Yan
  • Patent number: 9564488
    Abstract: A method of forming an isolation trench having localized stressors is provided. In accordance with embodiments of the present invention, a trench is formed in a substrate and partially filled with a dielectric material. In an embodiment, the trench is filled with a dielectric layer and a planarization step is performed to planarize the surface with the surface of the substrate. The dielectric material is then recessed below the surface of the substrate. In the recessed portion of the trench, the dielectric material may remain along the sidewalls or the dielectric material may be removed along the sidewalls. A stress film, either tensile or compressive, may then be formed over the dielectric material within the recessed portion. The stress film may also extend over a transistor or other semiconductor structure.
    Type: Grant
    Filed: April 22, 2014
    Date of Patent: February 7, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mong-Song Liang, Tze-Liang Lee, Kuo-Tai Huang, Chao-Cheng Chen, Hao-Ming Lien, Chih-Tang Peng
  • Patent number: 9564506
    Abstract: Embodiments of the present invention provide methods for fabricating a semiconductor device. One method may include providing a semiconductor substrate with fins etched into the semiconductor substrate; forming a gate structure and depositing an insulating material around the gate structure; selectively etching an active device area; forming a set of spacers on the sides of the gate structure; growing a doped source and drain region; depositing an insulator over an upper surface of a deposited etch stop layer; and depositing a metal into a contact opening to form one or more contacts.
    Type: Grant
    Filed: January 6, 2015
    Date of Patent: February 7, 2017
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 9559017
    Abstract: A method for forming a semiconductor structure is provided. The method includes providing a substrate having a first region and a second region; and forming at least one first trench in the first region of the substrate, and at least one second trench in second region of the substrate. The method also includes forming a first liner layer on side and bottom surfaces of the first trench, and the side and bottom surfaces of the second trench; and performing a rapid thermal oxy-nitridation process on the first liner layer to release a tensile stress between the first liner layer and the substrate. Further, the method includes removing a portion of the first liner layer in the first region to expose the first trench; and forming a second liner layer on the side and bottom surface of the first trench.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: January 31, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Kuan Xu, Wujia Chen
  • Patent number: 9553026
    Abstract: A method for fabricating semiconductor device is disclosed. First, a substrate is provided, and a first mandrel, a second mandrel, a third mandrel, and a fourth mandrel are formed on the substrate. Preferably, the first mandrel and the second mandrel include a first gap therebetween, the second mandrel and the third mandrel include a second gap therebetween, and the third mandrel and the fourth mandrel include a third gap therebetween, in which the first gap is equivalent to the third gap but different from the second gap. Next, spacers are formed adjacent to the first mandrel, the second mandrel, the third mandrel, and the fourth mandrel, and the spacers in the first gap and the third gap are removed.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: January 24, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Li-Wei Feng, Shih-Hung Tsai, Chao-Hung Lin, Chien-Ting Lin, Li-Chiang Chen, Jyh-Shyang Jenq
  • Patent number: 9546995
    Abstract: Provided are a nanopore device with resolution improved by graphene nanopores, and a method of manufacturing the same. The nanopore device includes: a first insulating layer; a graphene layer disposed on the first insulating layer and having a nanopore formed at a center portion of the graphene layer; and first and second electrode layers disposed respectively at both sides of the nanopore on a top surface of the graphene layer, wherein a center region of the first insulating layer is removed such that the center portion of the graphene layer is exposed to the outside.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: January 17, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Tae-han Jeon, Jeo-young Shim, Kun-sun Eom, Dong-ho Lee, Joo-ho Lee
  • Patent number: 9536990
    Abstract: One method disclosed herein includes, among other things, forming a patterned fin having a thickness that is equal to or greater than a target final fin height for a replacement fin, performing an etching process through the patterned fin etch mask to form a plurality of trenches in a semiconductor substrate to define a substrate fin and forming a recessed layer of insulating material in the trenches so as to expose the patterned fin etch. The method also includes forming a layer of CTE-matching material around the exposed patterned fin etch mask, removing the patterned fin etch mask to thereby define a replacement fin cavity and expose a surface of the substrate fin, forming the replacement fin on the substrate fin and in the replacement fin cavity, removing the layer of CTE-matching material and forming a gate structure around at least a portion of the replacement fin.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: January 3, 2017
    Assignees: GLOBALFOUNDRIES Inc., International Business Machines Corporation
    Inventors: Murat Kerem Akarvardar, Jody A. Fronheiser, Bruce Doris
  • Patent number: 9514997
    Abstract: Embodiments of the invention include a method for forming a FinFET device and the resulting structure. A semiconductor device including a substrate, a silicon-germanium fin formed on the substrate, a dummy gate formed on the fin, and a first set of spacers formed on the exposed sidewalls of the dummy gate is provided. Xenon is implanted into the exposed portions of the fin. A second set of spacers are formed on the exposed sidewalls of the first set of spacer. A dopant is implanted into the exposed portions of the fin. The semiconductor device is thermally annealed, such that the dopants diffuse into the adjacent portions of the fin. The dummy gate is replaced with a gate structure.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: December 6, 2016
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Pouya Hashemi, Kam-Leung Lee, Alexander Reznicek
  • Patent number: 9508743
    Abstract: Co-fabrication of a radio-frequency (RF) semiconductor device with a three-dimensional semiconductor device includes providing a starting three-dimensional semiconductor structure, the starting structure including a bulk silicon semiconductor substrate, raised semiconductor structure(s) coupled to the substrate and surrounded by a layer of isolation material. Span(s) of the layer of isolation material between adjacent raised structures are recessed, and a layer of epitaxial semiconductor material is created over the recessed span(s) of isolation material over which another layer of isolation material is created. The RF device(s) are fabricated on the layer of isolation material above the epitaxial material, which creates a local silicon-on-insulator, while the three-dimensional semiconductor device(s) can be fabricated on the raised structure(s).
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: November 29, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jagar Singh, Srikanth Balaji Samavedam
  • Patent number: 9502564
    Abstract: A semiconductor device includes an active region formed in a semiconductor substrate, a gate structure disposed over the active region, source/drain regions formed in the active region in alignment with the gate structure, and a buried insulating material region disposed in the active region under the gate structure. The buried insulating material region is surrounded by the active region and borders a channel region in the active region below the gate structure along a depth of the active region. The source/drain regions have a depth greater than a top surface of the buried insulating material region.
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: November 22, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Hans-Peter Moll, Peter Baars, Jan Hoentschel
  • Patent number: 9460962
    Abstract: A semiconductor device with a deep trench has a dielectric liner formed on sidewalls and a bottom of the deep trench. A pre-etch deposition step of a two-step process forms a protective polymer on an existing top surface of the semiconductor device, and on the dielectric liner proximate to a top surface of the substrate. The pre-etch deposition step does not remove a significant amount of the dielectric liner from the bottom of the deep trench. A main etch step of the two-step process removes the dielectric liner at the bottom of the deep trench while maintaining the protective polymer at the top of the deep trench. The protective polymer is subsequently removed.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: October 4, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: David William Hamann, Thomas E. Lillibridge, Abbas Ali
  • Patent number: 9454149
    Abstract: A method, system or computer usable program product for extracting attribute fail rates for manufactured devices including testing manufactured devices having a set of attributes to provide a set of test results stored in memory; generating a yield model of the manufactured devices parsed by the set of attributes; populating the yield model based on the set of test results; and utilizing a processor to perform statistical analysis of the populated yield model to extract fail rates of the selected subset of attributes.
    Type: Grant
    Filed: April 28, 2013
    Date of Patent: September 27, 2016
    Assignee: Synopsys, Inc.
    Inventors: John Kim, Brian Gordon, Christophe Suzor, Karen Movsisyan
  • Patent number: 9450079
    Abstract: A method of forming a semiconductor device that includes forming an in-situ doped semiconductor material on a semiconductor substrate, and forming fin structures from the in-situ doped semiconductor material. A sacrificial channel portion of the fin structures may be removed, wherein a source region and a drain region portion of the fin structures of the in-situ doped semiconductor material remain. The sacrificial channel portion of the fin structure may then be replaced with a functional channel region.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: September 20, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Ali Khakifirooz, Alexander Reznicek, Dominic J. Schepis
  • Patent number: 9443946
    Abstract: A method of manufacturing an embedded split-gate flash memory device is provided. The method includes: performing shallow trench isolation and chemical mechanical planarization on a semiconductor substrate comprising a flash memory region and a logic region, wherein a first oxide is formed on the semiconductor substrate and a first nitride is formed on the first oxide; forming a first photoresist over the logic region, and removing the first nitride disposed in the flash memory region; removing the first photoresist, and depositing a floating gate polysilicon material over the semiconductor substrate; performing chemical mechanical planarization on the floating gate polysilicon material; forming a control gate in the flash memory region; etching the floating gate polysilicon material to form a floating gate; forming a second photoresist over the flash memory region, and removing the first oxide and the first nitride disposed in the logic region; and removing the second photoresist.
    Type: Grant
    Filed: January 14, 2015
    Date of Patent: September 13, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Liqun Zhang, Huilin Ma, Jing Zhang
  • Patent number: 9443768
    Abstract: A method of fabricating a fin-like field-effect transistor (FinFET) device includes providing a substrate having a first region and a second region, and forming a plurality of mandrel features in the first region with a first spacing. The method further includes forming first spacers along sidewalls of the mandrel features with a targeted width A, and forming second spacers with a first width W1 along sidewalls of the first spacers, wherein two back-to-back adjacent second spacers are separated by a gap. The method further includes depositing a dielectric material in the gap and in the second region, and performing a first cut thereby removing a first subset of the first spacers. Coincident with the removing of the first subset, the method further includes partially removing the dielectric material in the second region thereby forming a mesa of the dielectric material in the second region.
    Type: Grant
    Filed: May 13, 2015
    Date of Patent: September 13, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Feng Shieh, Hung-Chang Hsieh, Han-Wei Wu
  • Patent number: 9437472
    Abstract: Some embodiments of the present disclosure provide a semiconductor structure with a reduced line feature. The semiconductor structure includes a substrate, a first active region in the substrate and having a first sidewall, a second active region in the substrate and having a second sidewall, an isolation region contacting the first sidewall and the second sidewall. The above-mentioned semiconductor structure possesses a width of a top surface of the isolation region less than 50 nm and a width of a bottom surface of the isolation region more than 20 nm. Some embodiments provide a method for controlling a semiconductor line feature in a wafer, including patterning a hard mask exposing a line feature with a line width narrower than 50 nm on a wafer, forming a trench on the wafer correlated to the line feature by performing a plasma dry etch over the wafer, and filling the trench with isolation materials.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: September 6, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Wen-Han Fang, Po-Chi Wu