Fluid Growth Step With Preceding And Subsequent Diverse Operation Patents (Class 438/492)
  • Publication number: 20120273870
    Abstract: Memory arrays and methods of their formation are disclosed. One such memory array has memory-cell strings are formed adjacent to separated substantially vertical, adjacent semiconductor structures, where the separated semiconductor structures couple the memory cells of the respective strings in series. For some embodiments, two dielectric pillars may be formed from a dielectric formed in a single opening, where each of the dielectric pillars has a pair of memory-cell strings adjacent thereto and where at least one memory cell of one of the strings on one of the pillars and at least one memory cell of one of the strings on the other pillar are commonly coupled to an access line.
    Type: Application
    Filed: July 12, 2012
    Publication date: November 1, 2012
    Inventor: Zengtao LIU
  • Patent number: 8288754
    Abstract: The present invention relates to a method for position-controlled fabrication of a semiconductor quantum dot, the method comprising: providing a substrate (102) of a substrate material; depositing a sacrificial layer (108) of a sacrificial material; depositing an active layer (110) of a semiconductive active material on the sacrificial layer, wherein the substrate, sacrificial and active materials are chosen such that the sacrificial layer is selectively removable with respect to the substrate and the active layer, depositing and patterning a mask layer on the active layer so as to define desired quantum-dot positions in lateral directions, fabricating a lateral access to the sacrificial layer in regions underneath the patterned mask layer; selectively removing, with respect to the substrate and the active layer, the sacrificial layer from underneath the active layer at least under the patterned mask layer; and etching the active layer under the patterned mask layer from underneath the active layer so as to a
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: October 16, 2012
    Assignees: NXP B.V., ST MicroElectronics (Crolles 2) SAS
    Inventors: Gregory Bidal, Frederic Boeuf, Nicolas Loubet
  • Publication number: 20120256166
    Abstract: The invention relates to a process for deposition of elongated nanoparticles from a liquid carrier onto a substrate, and to electronic devices prepared by this process.
    Type: Application
    Filed: November 16, 2010
    Publication date: October 11, 2012
    Applicant: MERCK PATENT GESELLSCHAFT MIT BESCHRANKTER HAFTUNG
    Inventors: Lichun Chen, Michael Coelle, Mark John Goulding
  • Patent number: 8278165
    Abstract: Methods for fabricating semiconductor devices are provided. The methods include providing a semiconductor substrate having pFET and nFET regions, each having active areas and shallow trench isolation. A hardmask layer is formed overlying the semiconductor substrate. A photoresist layer is provided over the hardmask layer. The phoresist layer is patterned. An exposed portion of the hardmask layer is removed from one of the pFET region and nFET region with the patterned photoresist acting as an etch mask to define a masked region and an unmasked region. An epitaxial silicon layer is formed on the active area in the unmasked region. A protective oxide layer is formed overlying the epitaxial silicon layer. The hardmask layer is removed from the masked region with the protective oxide layer protecting the epitaxial silicon layer during such removal step. The protective oxide layer is removed from the epitaxial silicon layer.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: October 2, 2012
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Rohit Pal, Janice Monzet
  • Publication number: 20120241821
    Abstract: A heterostructure that includes, successively, a support substrate of a material having an electrical resistivity of less than 10?3 ohm·cm and a thermal conductivity of greater than 100 W·m?1·K?1, a bonding layer, a first seed layer of a monocrystalline material of composition AlxInyGa(1-x-y)N, a second seed layer of a monocrystalline material of composition AlxInyGa(1-x-y)N, and an active layer of a monocrystalline material of composition AlxInyGa(1-x-y)N, and being present in a thickness of between 3 and 100 micrometers. The materials of the support substrate, the bonding layer and the first seed layer are refractory at a temperature of greater than 750° C., the active layer and second seed layer have a difference in lattice parameter of less than 0.005 ?, the active layer is crack-free, and the heterostructure has a specific contact resistance between the bonding layer and the first seed layer that is less than or equal to 0.1 ohm·cm2.
    Type: Application
    Filed: December 1, 2010
    Publication date: September 27, 2012
    Applicant: SOITEC
    Inventors: Jean-Marc Bethoux, Fabrice Letertre, Chris Werkhoven, Ionut Radu, Oleg Kononchuck
  • Publication number: 20120231614
    Abstract: The present invention related to a method for manufacturing a semiconductor, comprising steps of: providing a growing substrate; forming a semiconductor substrate on the growing substrate; forming a first structure with plural grooves and between the growing substrate and the semiconductor substrate; and changing the temperature of the growing substrate and the semiconductor substrate.
    Type: Application
    Filed: June 17, 2011
    Publication date: September 13, 2012
    Applicant: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: YewChung Sermon Wu, Bau-Ming Wang, Feng-Ching Hsiao
  • Patent number: 8247261
    Abstract: A method for manufacturing a thin film direct bandgap semiconductor active solar cell device comprises providing a source substrate having a surface and disposing on the surface a stress layer having a stress layer surface area in contact with and bonded to the surface of the source substrate. Operatively associating a handle foil with the stress layer and applying force to the handle foil separates the stress layer from the source substrate, and leaves a portion of the source substrate on the stress layer surface substantially corresponding to the area in contact with the surface of the source substrate. The portion is less thick than the source layer. The stress layer thickness is below that which results in spontaneous spalling of the source substrate. The source substrate may comprise an inorganic single crystal or polycrystalline material such as Si, Ge, GaAs, SiC, sapphire, or GaN. In one embodiment the stress layer comprises a flexible material.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: August 21, 2012
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Keith E. Fogel, Paul A. Lauro, Devendra Sadana
  • Patent number: 8227327
    Abstract: There is provided a method for epitaxial growth, wherein a quantum dot is formed on an epitaxial layer using a quantum-dot forming material with an excellent lattice matching property, and the formed quantum dot is positioned on a defect in the epitaxial layer, thereby minimizing transfer of the defect into an epitaxial layer formed through a subsequent process. The method includes preparing a first epitaxial layer having a defect formed therein; coating an anti-surfactant on the first epitaxial layer; supplying a quantum-dot forming material lattice-matched with respect to the first epitaxial layer, thereby forming a quantum dot obtained by allowing the anti-surfactant to react with the quantum-dot forming material on the first epitaxial layer; allowing the quantum dot to be moved onto a step of the first epitaxial layer due to a difference of surface energies between the quantum dot and the first epitaxial layer; and growing a second epitaxial layer on the first epitaxial layer.
    Type: Grant
    Filed: February 18, 2009
    Date of Patent: July 24, 2012
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventor: Jae-eung Oh
  • Publication number: 20120168877
    Abstract: A method to reduce contact resistance of n-channel transistors by using a III-V semiconductor interlayer in source and drain is generally presented. In this regard, a device is introduced comprising an n-type transistor with a source region and a drain region a first interlayer dielectric layer adjacent the transistor, a trench through the first interlayer dielectric layer to the source region, and a conductive source contact in the trench, the source contact being separated from the source region by a III-V semiconductor interlayer. Other embodiments are also disclosed and claimed.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Inventors: Niloy Mukherjee, Gilbert Dewey, Marko Radosavljevic, Robert S. Chau, Matthew V. Metz
  • Patent number: 8211782
    Abstract: A first patterned contact layer, for example a gate electrode, is formed over an insulative substrate. Insulating and functional layers are formed at least over the first patterned contact layer. A second patterned contact layer, for example source/drain electrodes, is formed over the functional layer. Insulative material is then selectively deposited over at least a portion of the second patterned contact layer to form first and second wall structures such that at least a portion of the second patterned contact layer is exposed, the first and second wall structures defining a well therebetween. Electrically conductive or semiconductive material is deposited within the well, for example by jet-printing, such that the first and second wall structures confine the conductive or semiconductive material and prevent spreading and electrical shorting to adjacent devices. The conductive or semiconductive material is in electrical contact with the exposed portion of the second patterned contact layer to form, e.g.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: July 3, 2012
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Jurgen H. Daniel, Ana Claudia Arias
  • Publication number: 20120156864
    Abstract: When forming sophisticated high-k metal gate electrode structures, the uniformity of the device characteristics may be enhanced by growing a threshold adjusting semiconductor alloy on the basis of a hard mask regime, which may result in a less pronounced surface topography, in particular in densely packed device areas. To this end, in some illustrative embodiments, a deposited hard mask material may be used for selectively providing an oxide mask of reduced thickness and superior uniformity.
    Type: Application
    Filed: August 3, 2011
    Publication date: June 21, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stephan Kronholz, Rohit Pal
  • Publication number: 20120153338
    Abstract: A substrate structure is described, including a starting substrate, crystal piers on the starting substrate, and a mask layer. The mask layer covers an upper portion of the sidewall of each crystal pier, is connected between the crystal piers at its bottom, and is separated from the starting substrate by an empty space between the crystal piers. An epitaxial substrate structure is also described, which can be formed by growing an epitaxial layer over the above substrate structure form the crystal piers. The crystal piers may be broken after the epitaxial layer is grown.
    Type: Application
    Filed: December 21, 2010
    Publication date: June 21, 2012
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Yih-Der Guo, Chu-Li Chao, Yen-Hsiang Fang, Ruey-Chyn Yeh, Kun-Fong Lin
  • Patent number: 8202788
    Abstract: Disclosed is a method for fabrication of a semiconductor of gallium nitride arsenide antimonide (GaNAsSb) on a substrate wherein the fabrication is performed at a fabrication temperature followed by annealing at an annealing temperature for an annealing time; wherein at least one of: the fabrication temperature, annealing temperature and annealing time, is controlled for controlling defect formation in the semiconductor so as to achieve predetermined performance characteristics of the semiconductor.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: June 19, 2012
    Assignee: Nanyang Technological University
    Inventors: Soon Fatt Yoon, Kian Hua Tan, Wan Khai Loke, Satrio Wicaksono, Tien Khee Ng
  • Publication number: 20120138897
    Abstract: Various source/drain stressors that can enhance carrier mobility, and methods for manufacturing the same, are disclosed. An exemplary source/drain stressor includes a seed layer of a first material disposed over a substrate of a second material, the first material being different than the second material; a relaxed epitaxial layer disposed over the seed layer; and an epitaxial layer disposed over the relaxed epitaxial layer.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 7, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chin-Hsiang Lin, Jeff J. Xu, Pang-Yen Tsai
  • Publication number: 20120126341
    Abstract: A method for forming an epitaxial layer on a substrate may have the steps of: forming a heavily doped silicon substrate; depositing an epitaxial layer at sub atmospheric pressure on the heavily doped silicon substrate; and implanting dopant into the epitaxial layer by ion implantation to form a lightly doped epitaxial layer.
    Type: Application
    Filed: November 8, 2011
    Publication date: May 24, 2012
    Inventors: Gregory Dix, Pam Leatherwood
  • Publication number: 20120119218
    Abstract: A method for forming a single crystalline Group-III Nitride film. A substrate is provided, having a first passivation layer, a monocrystalline layer, and a second passivation layer. The substrate is patterned to form a plurality of features with elongated sidewalls having a second crystal orientation. Group-III Nitride films are formed on the elongated sidewalls, but not on the first or second passivation layers. In one embodiment, the dimensions of the patterned features and the film deposition process result in a single crystalline Group-III Nitride film having a third crystal orientation normal to the substrate surface. In another embodiment, the dimensions and orientation of the patterned features and the film deposition process result in a plurality of single crystalline Group-III Nitride films. In other embodiments, additional layers are formed on the Group-III Nitride film or films to form semiconductor devices, for example, a light-emitting diode.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 17, 2012
    Applicant: Applied Materials, Inc.
    Inventor: Jie Su
  • Patent number: 8173458
    Abstract: A method for forming a quantum well structure that can reduce the variation in the In composition in the thickness direction of a well layer and a method for manufacturing a semiconductor light emitting element are provided. In a step of forming a quantum well structure (active layer) by alternately growing barrier layers and well layers on a primary surface of a GaN substrate, the well layers are each formed by growing InGaN, the barrier layers are each grown at a first temperature, the well layers are each grown at a second temperature which is lower than that of the first temperature, and when the well layers are each formed, before a starting material gas for Ga (trimethylgallium) is supplied, a starting material gas for In is supplied.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: May 8, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yohei Enya, Yusuke Yoshizumi, Masaki Ueno, Fumitake Nakanishi
  • Publication number: 20120108039
    Abstract: Embodiments of the invention generally relate to methods for treating a silicon-containing material on a substrate surface and performing a chamber clean process. In one embodiment, a method includes positioning a substrate containing a silicon material having a contaminant thereon within a process chamber and exposing the substrate to an etching gas containing chlorine gas and a silicon source gas while removing the contaminant and maintaining a temperature of the substrate within a range from about 500° C. to less than about 800° C. during an etching process. The method further includes exposing the substrate to a deposition gas after the etching process during a deposition process and exposing the process chamber to a chamber clean gas containing chlorine gas and the silicon source gas after the deposition process during a chamber clean process. The chamber clean process limits the etching of quartz and metal surfaces within the process chamber.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 3, 2012
    Inventors: Ali Zojaji, Arkadii V. Samoilov
  • Publication number: 20120100702
    Abstract: A method for forming a semiconductor device includes the following processes. A first well including a memory cell region of a semiconductor substrate is formed. A second well including a first peripheral circuit region of the semiconductor substrate is formed after forming the first well.
    Type: Application
    Filed: April 26, 2011
    Publication date: April 26, 2012
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Toshiya NAKAMORI
  • Patent number: 8163633
    Abstract: A method for the production of a robust, chemically stable, crystalline, passivated nanoparticle and composition containing the same, that emit light with high efficiencies and size-tunable and excitation energy tunable color. The methods include the thermal degradation of a precursor molecule in the presence of a capping agent at high temperature and elevated pressure. A particular composition prepared by the methods is a passivated silicon nanoparticle composition displaying discrete optical transitions.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: April 24, 2012
    Assignee: Merck Patent GmbH
    Inventors: Brian A. Korgel, Keith P. Johnston
  • Patent number: 8153529
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is a neutralized acid having a pKa of 5 or less, wherein at least 90% of the acid groups are neutralized. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: April 10, 2012
    Assignee: Eastman Kodak Company
    Inventor: David H. Levy
  • Publication number: 20120080690
    Abstract: According to an embodiment, a composite wafer includes a carrier substrate having a graphite core and a monocrystalline semiconductor layer attached to the carrier substrate.
    Type: Application
    Filed: September 30, 2010
    Publication date: April 5, 2012
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Rudolf Berger, Hermann Gruber, Wolfgang Lehnert, Guenther Ruhl, Raimund Foerg, Anton Mauder, Hans-Joachim Schulze
  • Patent number: 8148246
    Abstract: A method for separating a semiconductor from a substrate is disclosed. The method comprises the following steps: forming a plurality of columns on a substrate; epitaxially growing a semiconductor on the plurality of columns; and injecting etching liquid into the void among the plurality of columns so as to separate the semiconductor from the substrate. The method of this invention can enhance the etching efficiency of separating the semiconductor from the substrate and reduce the fabrication cost because the etching area is increased due to the void among the plurality of columns. In addition, the method will not confine the material of the above-mentioned substrate.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: April 3, 2012
    Assignee: Advanced Optoelectronic Technology, Inc.
    Inventors: Wen Yu Lin, Shih Cheng Huang, Po Min Tu, Chih Peng Hsu, Shih Hsiung Chan
  • Publication number: 20120074526
    Abstract: The invention relates to a detachable substrate for the electronics, optics or optoelectronics industry, that includes a detachable layer resting on a buried weakened region. This substrate is remarkable in that this buried weakened region consists of a semiconductor material that is denser in the liquid state than in the solid state and that contains in places precipitates of naturally volatile impurities. The invention also relates to a process for fabricating and detaching a detachable substrate.
    Type: Application
    Filed: August 25, 2011
    Publication date: March 29, 2012
    Inventor: Michel Bruel
  • Publication number: 20120056310
    Abstract: A method for increasing semiconductor device effective operation area, comprising following steps: depositing first conductive layer on the substrate; using laser for scribing a plurality of the first scribe lines on the first conductive layer, where the scribe lines are scribed on the bottom of the first conductive layer; depositing a plurality of the semiconductor material layers on the first conductive layer and in the plurality of the first scribe lines; using laser for scribing a plurality of the second scribe lines on the semiconductor material layer, where the scribe lines are scribed on the bottom of the semiconductor material layer, each second scribe line is comprised of a plurality of the second pores; depositing a second conductive layer on the semiconductor material layer and in the plurality of the first scribe lines and the plurality of the second scribe lines; using laser for scribing a plurality of the third scribe lines on the second conductive layer, where the scribe lines are scribed on th
    Type: Application
    Filed: August 31, 2011
    Publication date: March 8, 2012
    Inventors: Chang-Shiang Yang, Ke-Hsuan Liu
  • Patent number: 8129260
    Abstract: A semiconductor substrate includes a first semiconductor layer and a second semiconductor layer. The first semiconductor layer is formed of II-VI-group semiconductor material, III-V-group semiconductor material, or II-VI-group semiconductor material and III-V-group semiconductor material. At least one amorphous region and at least one crystalloid region are formed in the first semiconductor layer. The second semiconductor layer is formed on the first semiconductor layer and is crystal-grown from the at least one crystalloid region. A method of manufacturing a semiconductor substrate includes preparing a growth substrate; crystal-growing the first semiconductor layer on the growth substrate; forming the at least one amorphous region and the at least one crystalloid region in the first semiconductor layer; and forming a second semiconductor layer on the first semiconductor layer using the at least one amorphous region as a mask and the at least one crystalloid region as a seed.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: March 6, 2012
    Assignee: Samsung LED Co., Ltd.
    Inventors: Ho-sun Paek, Youn-joon Sung, Kyoung-ho Ha, Joong-kon Son, Sung-nam Lee
  • Patent number: 8124503
    Abstract: A new and useful nanotube growth substrate conditioning processes is herein disclosed that allows the growth of vertical arrays of carbon nanotubes where the average diameter of the nanotubes can be selected and/or controlled as compared to the prior art.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: February 28, 2012
    Assignee: William Marsh Rice University
    Inventors: Robert H. Hauge, Ya-Qiong Xu, Hongwei Shan, Nolan Walker Nicholas, Myung Jong Kim, Howard K. Schmidt, W. Carter Kittrell
  • Patent number: 8124430
    Abstract: A method for forming a quantum well structure that can reduce the variation in the In composition in the thickness direction of a well layer and a method for manufacturing a semiconductor light emitting element are provided. In a step of forming a quantum well structure (active layer) by alternately growing barrier layers and well layers on a primary surface of a GaN substrate, the well layers are each formed by growing InGaN, the barrier layers are each grown at a first temperature, the well layers are each grown at a second temperature which is lower than that of the first temperature, and when the well layers are each formed, before a starting material gas for Ga (trimethylgallium) is supplied, a starting material gas for In is supplied.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yohei Enya, Yusuke Yoshizumi, Masaki Ueno, Fumitake Nakanishi
  • Patent number: 8110889
    Abstract: In one embodiment a method for fabricating a compound nitride semiconductor device comprising positioning one or more substrates on a susceptor in a processing region of a metal organic chemical vapor deposition (MOCVD) chamber comprising a showerhead, depositing a gallium nitride layer over the substrate with a thermal chemical-vapor-deposition process within the MOCVD chamber by flowing a first gallium containing precursor and a first nitrogen containing precursor through the showerhead into the MOCVD chamber, removing the one or more substrates from the MOCVD chamber without exposing the one or more substrates to atmosphere, flowing a chlorine gas into the processing chamber to remove contaminants from the showerhead, transferring the one or more substrates into the MOCVD chamber after removing contaminants from the showerhead, and depositing an InGaN layer over the GaN layer with a thermal chemical-vapor-deposition process within the MOCVD chamber is provided.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: February 7, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Olga Kryliouk
  • Patent number: 8110486
    Abstract: A semiconductor wafer is produced at a step of forming a lattice relaxation or a partly lattice-relaxed strain relaxation SiGe layer on an insulating layer in a SOI wafer comprising an insulating layer and a SOI layer, wherein at least an upper layer side portion of the SiGe layer is formed on the SOI layer at a gradient of Ge concentration gradually decreasing toward the surface and then subjected to a heat treatment in an oxidizing atmosphere.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 7, 2012
    Assignee: Sumco Corporation
    Inventors: Koji Matsumoto, Tomoyuki Hora, Akihiko Endo, Etsurou Morita, Masaharu Ninomiya
  • Publication number: 20110306189
    Abstract: A method of etching and tilling deep trenches is disclosed, which includes: forming an ONO(oxide-nitride-oxide) sandwich layer on a semiconductor substrate; forming deep trenches by using top oxide of the sandwich layer as a stop layer; removing the top oxide and middle SiN of the sandwich layer; tilling the deep trenches with epitaxial film or polysilicon film; polishing the wafer to get a planarized surface by stopping at the surface of the bottom oxide layer; removing the bottom oxide layer.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 15, 2011
    Inventors: Xiaohua Cheng, Shengan Xiao
  • Patent number: 8057696
    Abstract: This invention relates to compositions and methods for removing overfilled substrates, preferably at a relatively high removal rates. Advantageously, a composition according to the invention can contain an oxidizer, preferably a per-type oxidizer such as a peroxide, periodic acid, and peracetic acid, and may also optionally contain an abrasive.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 15, 2011
    Assignee: DuPont Air Products NanoMaterials LLC
    Inventors: Philippe H. Chelle, Robert J. Small
  • Publication number: 20110263108
    Abstract: The invention relates to a method of fabricating at least one semiconductor quantum dot at a predefined position, comprising the steps of: patterning a semiconductor base material using nanoimprint lithography and an etching step, to form at least one nano-hole at the predefined position in the semiconductor base material; and growing the at least one semiconductor quantum dot in or on top of the at least one nano-hole by metalorganic chemical vapor deposition.
    Type: Application
    Filed: April 27, 2010
    Publication date: October 27, 2011
    Inventors: Hongbo Lan, Udo W. Pohl, Dieter Bimberg
  • Patent number: 8026447
    Abstract: Devices and methods for electrical interconnection for microelectronic circuits are disclosed. One method of electrical interconnection includes forming a bundle of microfilaments, wherein at least two of the microfilaments include electrically conductive portions extending along their lengths. The method can also include bonding the microfilaments to corresponding bond pads of a microelectronic circuit substrate to form electrical connections between the electrically conductive portions and the corresponding bond pads. A microelectronic circuit can include a bundle of microfilaments bonded to corresponding bond pads to make electrical connection between corresponding bonds pads and electrically-conductive portions of the microfilaments.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: September 27, 2011
    Assignee: Raytheon Sarcos, LLC
    Inventors: Stephen C. Jacobsen, David P. Marceau, Shayne M. Zurn, David T. Markus
  • Patent number: 8021968
    Abstract: Provided is a susceptor 13 for manufacturing an epitaxial wafer, comprising a mesh-like groove 13b on a mount face on which a silicon substrate W is to be mounted, wherein a coating H of silicon carbide is formed on the mount face, and the coating has a surface roughness of 1 ?m or more in centerline average roughness Ra and a maximum height of a protrusion 13p generated in forming the coating H of 5 ?m or less. Thus, defects such as warping and slip as well as adhesion of the silicon substrate to the susceptor are prevented.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: September 20, 2011
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Tsuyoshi Nishizawa, Yoshio Hagiwara, Hideki Hariya
  • Patent number: 8021971
    Abstract: An integrated circuit is provided including a narrow gate stack having a width less than or equal to 65 nm, including a silicide region comprising Pt segregated in a region of the silicide away from the top surface of the silicide and towards an lower portion defined by a pulldown height of spacers on the sidewalls of the gate conductor. In a preferred embodiment, the spacers are pulled down prior to formation of the silicide. The silicide is first formed by a formation anneal, at a temperature in the range 250° C. to 450° C. Subsequently, a segregation anneal at a temperature in the range 450° C. to 550° C. The distribution of the Pt along the vertical length of the silicide layer has a peak Pt concentration within the segregated region, and the segregated Pt region has a width at half the peak Pt concentration that is less than 50% of the distance between the top surface of the silicide layer and the pulldown spacer height.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: September 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Christian Lavoie, Ahmet S. Ozcan
  • Patent number: 8021967
    Abstract: A fluid transport method and fluid transport device are disclosed. Nanoscale fibers disposed in a patterned configuration allow transport of a fluid in absence of an external power source. The device may include two or more fluid transport components having different fluid transport efficiencies. The components may be separated by additional fluid transport components, to control fluid flow.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: September 20, 2011
    Assignee: California Institute of Technology
    Inventors: Jijie Zhou, Michael Bronikowski, Flavio Noca, Elijah B. Sansom
  • Publication number: 20110221039
    Abstract: An epitaxial article includes a substrate having a substrate surface having a substrate surface composition including crystalline defect or amorphous regions and crystalline non-defect regions. The crystalline defect or amorphous regions are recessed from the substrate surface by surface recess regions. A capping material fills the surface recess regions to provide capped defects that extend from a top of the defect regions to the substrate surface. The capping material is compositionally different from the substrate surface composition. An epitaxial layer over the substrate surface provides an average crystalline defect density in at least one area having a size ?0.5 ?m2 that is ? two times lower than an average crystalline defect density in that area at or below the substrate surface.
    Type: Application
    Filed: March 12, 2010
    Publication date: September 15, 2011
    Applicants: Sinmat, Inc., University of Florida Research Foundation, Inc.
    Inventors: Rajiv K. Singh, Arul Chakkaravarthi Arjunan, Deepika Singh
  • Patent number: 8004018
    Abstract: A layer of high aspect ratio nanoparticles is disposed on a surface of a substrate under the influence of an electrical field applied on the substrate. To create the electrical field, a voltage is applied between a pair of electrodes arranged near the substrate or on the substrate, and the high aspect ratio nanoparticles disposed on the substrate are at least partially aligned along direction(s) of the applied electrical field. The high aspect ratio nanoparticles are grown from catalyst nanoparticles in an aerosol, and the aerosol is directly used for forming the nanoparticle layer on the substrate at room temperature. The nanoparticles may be carbon nanotubes, in particular single wall carbon nanotubes. The substrate with the layer of aligned high aspect ratio nanoparticles disposed thereon can be used for fabricating nanoelectronic devices.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: August 23, 2011
    Assignee: Nokia Corporation
    Inventor: Vladimir Alexsandrovich Ermolov
  • Patent number: 8003546
    Abstract: In a method of growing silicon (Si) using a reactor, a supercritical fluid including a silicon Si source and hydrogen flows in the reactor, and the Si source reacts with hydrogen. A base substrate of a solar cell may be formed with Si made using the method of growing silicon (Si). The supercritical fluid may be a fluid in which Si is not oxidized and may be, for example, a CO2 supercritical fluid with a pressure of about 60 to about 200 atm. The Si source may be TriChloroSilane (TCS) (SiCl3H) or SiH4.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: August 23, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Chang-soo Lee, Dong-joon Ma
  • Publication number: 20110201184
    Abstract: Oxygen can be doped into a gallium nitride crystal by preparing a non-C-plane gallium nitride seed crystal, supplying material gases including gallium, nitrogen and oxygen to the non-C-plane gallium nitride seed crystal, growing a non-C-plane gallium nitride crystal on the non-C-plane gallium nitride seed crystal and allowing oxygen to infiltrating via a non-C-plane surface to the growing gallium nitride crystal. Oxygen-doped {20-21}, {1-101}, {1-100}, {11-20} or {20-22} surface n-type gallium nitride crystals are obtained.
    Type: Application
    Filed: February 22, 2011
    Publication date: August 18, 2011
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Kensaku Motoki, Masaki Ueno
  • Patent number: 7985666
    Abstract: Provided is a method of manufacturing silicon nanowires including: forming a silicon nanodot thin film having a plurality of silicon nanodots exposed on a substrate; and growing the silicon nanowires on the silicon nanodot thin film using the silicon nanodots as a nucleation site. The silicon nanowires can be manufactured using the silicon nanodot thin film disposed in a silicon nitride matrix, as a nucleation site instead of using catalytic metal islands, wherein the silicon nanodot thin film includes the silicon nanodots.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: July 26, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Rae-Man Park, Sang-Hyeob Kim, Jonghyurk Park, Sunglyul Maeng
  • Patent number: 7972961
    Abstract: A method of processing semiconductor substrates includes: depositing a film on a substrate in a reaction chamber; evacuating the reaction chamber without purging the reaction chamber; opening a gate valve and replacing the substrate with a next substrate via the transfer chamber wherein the pressure of the transfer chamber is controlled to be higher than that of the reaction chamber before and while the gate valve is opened; repeating the above steps and removing the substrate from the reaction chamber; and purging and evacuating the reaction chamber, and cleaning the reaction chamber with a cleaning gas.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: July 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Toru Sugiyama, Ryu Nakano
  • Patent number: 7968434
    Abstract: This invention provides a method of forming semiconductor films on dielectrics at temperatures below 400° C. Semiconductor films are required for thin film transistors (TFTs), on-chip sensors, on-chip micro-electromechanical systems (MEMS) and monolithic 3D-integrated circuits. For these applications, it is advantageous to form the semiconductor films below 400° C. because higher temperatures are likely to destroy any underlying devices and/or substrates. This invention successfully achieves low temperature growth of germanium films using diboran. First, diboran gas is supplied into a reaction chamber at a temperature below 400° C. The diboran decomposes itself at the given temperature and decomposed boron is attached to the surface of a dielectric, for e.g., SiO2, forming a nucleation site and/or a seed layer. Second, source gases for semiconductor film formation, for e.g., SiH4, GeH4, etc., are supplied into the chamber, thereby forming a semiconductor film.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: June 28, 2011
    Assignees: NEC Corporation, Stanford University
    Inventors: Munehiro Tada, Krishna Saraswat
  • Patent number: 7964482
    Abstract: The present invention provides a method for depositing or growing a group III-nitride layer, e.g. GaN layer (5), on a substrate (1), the substrate (1) comprising at least a Ge surface (3), preferably with hexagonal symmetry. The method comprises heating the substrate (1) to a nitridation temperature between 400° C. and 940° C. while exposing the substrate (1) to a nitrogen gas flow and subsequently depositing the group III-nitride layer, e.g. GaN layer (5), onto the Ge surface (3) at a deposition temperature between 100° C. and 940° C. By a method according to embodiments of the invention, a group III-nitride layer, e.g. GaN layer (5), with good crystal quality may be obtained. The present invention furthermore provides a group III-nitride/substrate structure formed by the method according to embodiments of the present invention and a semiconductor device comprising at least one such structure.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: June 21, 2011
    Assignees: IMEC, Vrije Universiteit Brussel
    Inventors: Ruben Lieten, Stefan Degroote
  • Publication number: 20110143525
    Abstract: The present invention relates to a nitride semiconductor substrate such as gallium nitride substrate and a method for manufacturing the same. The present invention forms a plurality of trenches on a lower surface of a base substrate that are configured to absorb or reduce stresses applied larger when growing a nitride semiconductor film on the base substrate from a central portion of the base substrate towards a peripheral portion. That is, the present invention forms the trenches on the lower surface of the base substrate such that pitches get smaller or widths or depths get larger from the central portion of the base substrate towards the peripheral portion.
    Type: Application
    Filed: February 21, 2011
    Publication date: June 16, 2011
    Applicant: SILTRON INC.
    Inventors: Doo-Soo Kim, Ho-Jun Lee, Yong-Jin Kim, Dong-Kun Lee
  • Patent number: 7960255
    Abstract: A process for forming a wire portion in an integrated electronic circuit includes epitaxially growing the wire portion on a side surface of a seed layer portion (11, 12). Cross-sectional dimensions of the wire portion correspond to a thickness of the seed layer portion and to a duration of the growing step. The seed layer portion is then selectively removed while the wire portion is retained fixedly on the circuit. Afterwards, heating of the circuit can cause the wire portion becoming rounded in cross-section. The wire portion obtained may be about 10 nanometers in diameter. It may be used for forming a channel of a MOS transistor devoid of short channel effect.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: June 14, 2011
    Assignees: STMicroelectronics (Crolles 2) SAS, NXP B.V.
    Inventors: Philippe Coronel, Benjamin Dumont, Arnaud Pouydebasque, Markus Müller
  • Patent number: 7955959
    Abstract: A method for manufacturing GaN-based film LED based on masklessly transferring photonic crystal structure is disclosed. Two dimensional photonic crystals are formed on a sapphire substrate. Lattice quality of GaN-based epitaxy on the sapphire substrate is improved, and the internal quantum efficiency of GaN-based LED epitaxy is increased. After the GaN-based film is transferred onto heat sink substrate, the two dimensional photonic crystals structure is masklessly transferred onto the light exiting surface of the GaN-based film by using different etching rates between the GaN material and the SiO2 mask, so that light extraction efficiency of the GaN-based LED is improved. That is, the GaN-based film LED according to the invention has a relatively high illumination efficiency and heat sink.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: June 7, 2011
    Assignee: Xiamen Sanan Optoelectronics Technology Co., Ltd.
    Inventors: Jyh Chiarng Wu, Xuejiao Lin, Qunfeng Pan, Meng Hsin Yeh, Huijun Huang
  • Publication number: 20110084366
    Abstract: The epitaxial layer defects generated from voids of a silicon substrate wafer containing added hydrogen are suppressed by a method for producing an epitaxial wafer by: growing a silicon crystal by the Czochralski method comprising adding hydrogen and nitrogen to a silicon melt and growing from the silicon melt a silicon crystal having a nitrogen concentration of from 3×1013 cm?3 to 3×1014 cm?3, preparing a silicon substrate by machining the silicon crystal, and forming an epitaxial layer at the surface of the silicon substrate.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 14, 2011
    Applicant: SILTRONIC AG
    Inventors: Katsuhiko Nakai, Timo Mueller, Atsushi Ikari, Wilfried von Ammon, Martin Weber
  • Patent number: 7902047
    Abstract: A dual-chamber reactor can include a housing enclosing a volume having a divider therein, where the divider defines a first chamber and a second chamber. The divider can include a substrate holder that supports at least one substrate and exposes a first side of the substrate to the first chamber and a second side of the substrate to the second chamber. The first chamber can include an inlet for delivering at least one reagent to the first chamber for forming a film on the first side of the substrate, and the second chamber can include a removal device for removing material from the second side of the substrate.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: March 8, 2011
    Assignee: The United States of America as represented by the United States Department of Energy
    Inventors: Nagraj S. Kulkarni, Richard J. Kasica