With Epitaxial Conductor Formation Patents (Class 438/607)
  • Patent number: 11908922
    Abstract: A semiconductor structure includes a substrate, a first epitaxial layer, a second epitaxial layer, and a transistor. The substrate includes a first pyramid protrusion, a second pyramid protrusion, a third pyramid protrusion, and a fourth pyramid protrusion. The first and second pyramid protrusions are arranged along a first direction, the second and fourth pyramid protrusions are arranged along the first direction, and the first and third pyramid protrusions are arranged along a second direction crossing the first direction. The first epitaxial layer is over the substrate and in contact with the first, second, third, and fourth pyramid protrusions. The second epitaxial layer is over the first epitaxial layer. The transistor is over the second epitaxial layer.
    Type: Grant
    Filed: October 26, 2020
    Date of Patent: February 20, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Carlos H. Diaz, Mark Van Dal, Martin Christopher Holland
  • Patent number: 11854980
    Abstract: A method of forming a semiconductor device, comprising: forming a first conductive layer on an active device of a substrate; forming a dielectric layer on the first conductive layer; forming a through hole passing through the dielectric layer to expose a portion of the first conductive layer; conformally depositing a glue layer in the through hole to cover the portion of the first conductive layer comprising: forming a plurality of isolated lattices in an amorphous region at which the isolated lattices are uniformly distributed and extend from a top surface of the glue layer and terminate prior to reach a bottom of the glue layer, wherein the glue layer has a predetermined thickness; depositing a conductive material on the glue layer within the through hole, thereby forming a contact via; and forming a second conductive layer on the contact via over the first conductive layer.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Ming Lu, Jung-Chih Tsao, Yao-Hsiang Liang, Chih-Chang Huang, Han-Chieh Huang
  • Patent number: 11670552
    Abstract: A method includes forming a patterned etching mask, which includes a plurality of strips, and etching a semiconductor substrate underlying the patterned etching mask to form a first plurality of semiconductor fins and a second plurality of semiconductor fins. The patterned etching mask is used as an etching mask in the etching. The method further includes etching the second plurality of semiconductor fins without etching the first plurality of semiconductor fins. An isolation region is then formed, and the first plurality of semiconductor fins has top portions protruding higher than a top surface of the isolation region.
    Type: Grant
    Filed: April 26, 2021
    Date of Patent: June 6, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ryan Chia-Jen Chen, Yih-Ann Lin, Chia Tai Lin, Chao-Cheng Chen
  • Patent number: 11600490
    Abstract: There is provided a method of forming a silicon film, which includes: a film forming step of forming the silicon film on a base, the silicon film having a film thickness thicker than a desired film thickness; and an etching step of reducing the film thickness of the silicon film by supplying an etching gas containing bromine or iodine to the silicon film.
    Type: Grant
    Filed: December 4, 2020
    Date of Patent: March 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Mitsuhiro Okada, Tatsuya Miyahara, Keisuke Fujita
  • Patent number: 11107696
    Abstract: Examples described herein provide for methods for semiconductor processing for forming source/drain regions of transistors. An example is a method for semiconductor processing. An etch stop liner is formed in a semiconductor substrate. Forming the etch stop liner includes implanting etch selectivity dopants into the semiconductor substrate. The etch selectivity dopants form at least part of the etch stop liner. A source/drain cavity is formed in the semiconductor substrate. Forming the source/drain cavity includes etching the etch stop liner. Etching the etch stop liner selectively etches the etch stop liner relative to a material of the semiconductor substrate. A source/drain region is epitaxially grown in the source/drain cavity.
    Type: Grant
    Filed: October 29, 2019
    Date of Patent: August 31, 2021
    Assignee: XILINX, INC.
    Inventors: Li-Wen Chang, Ping-Chin Yeh
  • Patent number: 9653555
    Abstract: A method of filling a depression of a workpiece is provided. The depression passes through an insulating film and extends up to an inside of a semiconductor substrate. The method includes forming a first thin film made of a semiconductor material along a wall surface which defines the depression, performing gas phase doping on the first thin film, by annealing the workpiece within a vessel, forming an epitaxial region from the semiconductor material of the first thin film along a surface of the semiconductor substrate which defines the depression, without moving the first thin film with the gas phase doping performed, forming a second thin film made of a semiconductor material along the wall surface which defines the depression; and by annealing the workpiece within the vessel, further forming an epitaxial region from the semiconductor material of the second thin film moved toward a bottom of the depression.
    Type: Grant
    Filed: February 11, 2016
    Date of Patent: May 16, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Youichirou Chiba, Takumi Yamada, Daisuke Suzuki
  • Patent number: 9653559
    Abstract: A die includes a semiconductive prominence and a surface-doped structure on the prominence. The surface-doped structure makes contact with contact metallization. The prominence may be a source- or drain contact for a transistor. Processes of making the surface-doped structure include wet-vapor- and implantation techniques, and include annealing techniques to drive in the surface doping to only near-surface depths in the semiconductive prominence.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: May 16, 2017
    Assignee: Intel Corporation
    Inventors: Niloy Mukherjee, Gilbert Dewey, Marko Radosavljevic, Niti Goel, Sanaz Kabehie, Matthew V. Metz, Robert S. Chau
  • Patent number: 9514927
    Abstract: A method for integrated circuit fabrication can include removing silicon oxide by a pre-clean process. The pre-clean process can include depositing a halogen-containing material on the surface of a substrate in a first reaction chamber, and transferring the substrate having the halogen-containing material to a second reaction chamber. Silicon oxide material can be removed from a surface of the substrate by sublimating the halogen-containing material in the second reaction chamber. A target material, such as a conductive material, may subsequently be deposited on the substrate surface in the second reaction chamber.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: December 6, 2016
    Assignee: ASM IP HOLDING B.V.
    Inventors: John Tolle, Matthew G. Goodman, Robert Michael Vyne, Eric R. Hill
  • Patent number: 9355854
    Abstract: A method of fabricating transferable semiconductor devices includes providing a release layer including indium aluminum phosphide on a substrate, and providing a support layer on the release layer. The support layer and the substrate include respective materials, such as arsenide-based materials, such that the release layer has an etching selectivity relative to the support layer and the substrate. At least one device layer is provided on the support layer. The release layer is selectively etched without substantially etching the support layer and the substrate. Related structures and methods are also discussed.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: May 31, 2016
    Assignee: Semprius, Inc.
    Inventors: Matthew Meitl, Christopher Bower, Etienne Menard, James Carter, Allen Gray, Salvatore Bonafede
  • Patent number: 9263532
    Abstract: A second epitaxial layer is grown epitaxially over a first epitaxial layer. The first epitaxial layer includes an epitaxially grown layer and a defect layer. The defect layer is disposed over the epitaxially grown layer and serves as a surface layer of the first epitaxial layer. The defect density of the defect layer is 5×1017 cm?2 or more. Defects penetrating through the defect layer form loops in the second epitaxial layer.
    Type: Grant
    Filed: February 24, 2015
    Date of Patent: February 16, 2016
    Assignee: Renesas Electronics Corporation
    Inventors: Nobuyuki Ikarashi, Masayasu Tanaka
  • Patent number: 9202758
    Abstract: A semiconductor component and a method for manufacturing the semiconductor component that are suitable for use with low temperature processing. A semiconductor substrate is provided and an optional layer of silicon nitride is formed on the semiconductor substrate using Atomic Layer Deposition (ALD). A layer of dielectric material is formed on the silicon nitride layer using Sub-Atmospheric Chemical Vapor Deposition (SACVD) at a temperature below about 450° C. When the optional layer of silicon nitride is not present, the SACVD dielectric material is formed on the semiconductor substrate. A contact hole having sidewalls is formed through the SACVD dielectric layer, through the silicon nitride layer, and exposes a portion of the semiconductor substrate. A layer of tungsten nitride is formed on the exposed portion of the semiconductor substrate and along the sidewalls of the contact hole. Tungsten is formed on the layer of tungsten nitride.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: December 1, 2015
    Assignees: GLOBALFOUNDRIES Inc., Cypress Semiconductor Corporation
    Inventors: Paul R. Besser, Minh Van Ngo, Connie Pin-Chin Wang, Jinsong Yin, Hieu T. Pham
  • Patent number: 9147765
    Abstract: Disclosed herein are various FinFET semiconductor devices with improved source/drain resistance and various methods of making such devices. One illustrative device disclosed herein includes a plurality of spaced-apart trenches in a semiconducting substrate, wherein the trenches at least partially define a fin for the device, an etch stop layer positioned above a bottom surface of each of the trenches, and a metal silicide region formed on all exposed surfaces of the fin that are positioned above an upper surface of the etch stop layer.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: September 29, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Mark Raymond, Robert Miller
  • Patent number: 9111860
    Abstract: An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.
    Type: Grant
    Filed: August 5, 2014
    Date of Patent: August 18, 2015
    Assignee: ENTEGRIS, INC.
    Inventors: Edward E. Jones, Sharad N. Yedave, Ying Tang, Barry Lewis Chambers, Robert Kaim, Joseph D. Sweeney, Oleg Byl, Peng Zou
  • Publication number: 20150097228
    Abstract: Provided is a method for fabricating a semiconductor device, which includes the following steps. First, a substrate having at least one transistor is provided. A first insulation layer is formed to cover the transistor. The first insulation layer is patterned to form at least one opening, wherein a part of the transistor is exposed by the opening. At last, an epitaxy is formed in the opening to cover the part of the transistor.
    Type: Application
    Filed: October 7, 2013
    Publication date: April 9, 2015
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Hung-Yu CHI, Chien-An YU, Yi-Fong LIN, Feng-Ling CHEN
  • Patent number: 8999061
    Abstract: The method for producing a silicon epitaxial wafer according to the present invention has: a growth step G at which an epitaxial layer is grown on a silicon single crystal substrate; a first polishing step E at which, before the growth step G, both main surfaces of the silicon single crystal substrate are subjected to rough polishing simultaneously; and a second polishing step H at which, after the growth step G, the both main surfaces of the silicon single crystal substrate are subjected to finish polishing simultaneously.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: April 7, 2015
    Assignee: Sumco Corporation
    Inventors: Masayuki Ishibashi, Tomonori Miura
  • Patent number: 8980737
    Abstract: Methods of patterning semiconductor contact materials on a crystalline semiconductor material which allow high-quality interfaces between the crystalline semiconductor material and the patterned semiconductor contact material are provided. Blanket layers of passivation material and sacrificial material are formed on the crystalline semiconductor material. A first contact opening is formed into the blanker layer of sacrificial material. The first contact opening is extended into blanket layer of passivation material, stopping on a first surface portion of the crystalline semiconductor material, using remaining sacrificial material portions as an etch mask. A semiconductor contact material is formed on the exposed first surface portion of the crystalline semiconductor material. In some embodiments, an electrode material portion can be formed over the first contact opening, and then a second blanket layer of sacrificial material can be formed, followed by forming a next contact opening.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 17, 2015
    Assignee: International Business Machines Corporation
    Inventors: Bahman Hekmatshoar-Tabari, Tak H. Ning, Devendra K. Sadana, Ghavam G. Shahidi, Davood Shahrjerdi
  • Patent number: 8980759
    Abstract: A method of forming a slanted field plate including forming epitaxy for a FET on a substrate, forming a wall near a drain of the FET, the wall comprising a first negative tone electron-beam resist (NTEBR), depositing a dielectric over the epitaxy and the wall, the wall causing the dielectric to have a step near the drain of the FET, depositing a second NTEBR over the dielectric, wherein surface tension causes the deposited second NTEBR to have a slanted top surface between the step and a source of the FET, etching anisotropically vertically the second NTEBR and the dielectric to remove the second NTEBR and to transfer a shape of the slanted top surface to the dielectric, and forming a gatehead comprising metal on the dielectric between the step and the source of the FET, wherein the gatehead forms a slanted field plate.
    Type: Grant
    Filed: May 22, 2014
    Date of Patent: March 17, 2015
    Assignee: HRL Laboratories, LLC
    Inventors: Andrea Corrion, Joel C. Wong, Keisuke Shinohara, Miroslav Micovic, Ivan Milosavljevic, Dean C. Regan, Yan Tang
  • Publication number: 20150069611
    Abstract: The present invention provides a method for forming metal nanoparticle(s) onto an inner surface of one or more open volume defects within a substrate by providing the substrate containing the one or more open volume defects, depositing an immiscible metal on a surface of the substrate, and forming the metal nanoparticle(s) by diffusing the immiscible metal from the surface onto the inner surface of each open volume defect using a heat treatment. The method can be used to produce a substrate having at least one open volume defect with a metal nanoparticle formed onto an inner surface of the open volume defect, a solar cell, an optical switch, a radiation detector, or other similar device.
    Type: Application
    Filed: September 12, 2014
    Publication date: March 12, 2015
    Inventors: Michael S. Martin, Lin Shao
  • Patent number: 8969190
    Abstract: Disclosed herein are various methods of forming a layer of silicon on a layer of silicon/germanium. In one example, a method disclosed herein includes forming a silicon/germanium material on a semiconducting substrate, after forming the silicon/germanium material, performing a heating process to raise a temperature of the substrate to a desired silicon formation temperature while flowing a silicon-containing precursor and a chlorine-containing precursor into the deposition chamber during the heating process, and, after the temperature of the substrate reaches the desired silicon formation temperature, forming a layer of silicon on the silicon/germanium material.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: March 3, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Joachim Patzer
  • Patent number: 8962433
    Abstract: A MOS transistor process includes the following steps. A gate structure is formed on a substrate. A source/drain is formed in the substrate beside the gate structure. After the source/drain is formed, (1) at least a recess is formed in the substrate beside the gate structure. An epitaxial structure is formed in the recess. (2) A cleaning process may be performed to clean the surface of the substrate beside the gate structure. An epitaxial structure is formed in the substrate beside the gate structure.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: February 24, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chin-I Liao, Chin-Cheng Chien
  • Publication number: 20150037970
    Abstract: The present disclosure provides a silicon film forming method for forming a silicon film on a workpiece having a processed surface, including: forming a seed layer by supplying a high-order aminosilane-based gas containing two or more silicon atoms in a molecular formula onto the processed surface and by having silicon adsorbed onto the processed surface; and forming a silicon film by supplying a silane-based gas not containing an amino group onto the seed layer and by depositing silicon onto the seed layer, wherein, when forming a seed layer, a process temperature is set within a range of 350 degrees C. or lower and a room temperature or higher.
    Type: Application
    Filed: July 30, 2014
    Publication date: February 5, 2015
    Inventors: Kazuhide HASEBE, Kazuya TAKAHASHI, Katsuhiko KOMORI, Yoshikazu FURUSAWA, Mitsuhiro OKADA, Hiroyuki HAYASHI, Akinobu KAKIMOTO
  • Patent number: 8946060
    Abstract: A method for fabricating a semiconductor device, the method includes forming a gate stack over a major surface of a substrate. The method further includes recessing the substrate to form source and drain recess cavities adjacent to the gate stack in the substrate. The method further includes selectively growing a strained material in the source and drain recess cavities in the substrate using an LPCVD process, wherein the LPCVD process is performed at a temperature of about 660 to 700° C. and under a pressure of about 13 to 50 Torr, using SiH2Cl2, HCl, GeH4, B2H6, and H2 as reaction gases.
    Type: Grant
    Filed: May 8, 2013
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hung Cheng, Chii-Horng Li, Tze-Liang Lee
  • Patent number: 8900978
    Abstract: A method for making a semiconductor device includes forming at least one gate stack on a layer comprising a first semiconductor material and etching source and drain recesses adjacent the at least one gate stack. The method further includes shaping the source and drain recesses to have a vertical side extending upwardly from a bottom to an inclined extension adjacent the at least one gate stack.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: December 2, 2014
    Assignees: STMicroelectronics, Inc., International Business Machines Corporation
    Inventors: Nicolas Loubet, Douglas LaTulipe, Alexander Reznicek
  • Patent number: 8895428
    Abstract: Disclosed is a manufacture method of the thin film transistor array, comprising depositing a first transparent conductive layer and a first metal layer to perform patterning for forming a common electrode, a gate electrode and a transparent electrode array; depositing an insulating layer, an active layer, an ohmic contact layer and a second metal layer to perform patterning for forming a source and a drain; depositing a second transparent conductive layer to perform patterning for forming a source contact layer, a drain contact layer and a pixel electrode array connected to the drain contact layer. The present invention simplifies the manufacture process, saves the cost and time for the manufacture.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: November 25, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Shijian Qin
  • Patent number: 8859413
    Abstract: Example embodiments are directed to a method of growing GaN single crystals on a silicon substrate, a method of manufacturing a GaN-based light emitting device using the silicon substrate, and a GaN-based light emitting device. The method of growing the GaN single crystals may include forming a buffer layer including a TiN group material or other like material on a silicon substrate, forming a nano-pattern including silicon oxide on the buffer layer, and growing GaN single crystals on the buffer layer and the nano-pattern.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: October 14, 2014
    Assignee: Samsung Corning Precision Materials Co., Ltd.
    Inventors: Sung-soo Park, June-key Lee
  • Patent number: 8853735
    Abstract: Provided is an epitaxial substrate for a semiconductor device, which has excellent schottky contact characteristics that are stable over time. The epitaxial substrate for a semiconductor device includes a base substrate, a channel layer formed of a first group III nitride containing at least Ga and having a composition of Inx1Aly1Gaz1N (x1+y1+z1=1), and a barrier layer formed of a second group III nitride containing at least In and Al and having a composition of Inx2Aly2Gaz2N (x2+y2+z2=1), wherein the barrier layer has tensile strains in an in-plane direction, and pits are formed on a surface of the barrier layer at a surface density of 5×107/cm2 or more and 1×109/cm2 or less.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: October 7, 2014
    Assignee: NGK Insulators, Ltd.
    Inventors: Makoto Miyoshi, Mikiya Ichimura, Tomohiko Sugiyama, Mitsuhiro Tanaka
  • Patent number: 8846501
    Abstract: The invention relates to a method for equipping a process chamber in an apparatus for depositing at least one layer on a substrate held by a susceptor in the process chamber, process gases being introduced into the process chamber through a gas inlet element, in particular by means of a carrier gas, the process gases decomposing into decomposition products in the chamber, in particular on hot surfaces, the decomposition products comprising the components that form the layer. In order to improve the apparatus so that thick multi-layer structures can be deposited reproducibly in process steps that follow one another directly, it is proposed that a material is selected for the surface facing the process chamber at least of the wall of the process chamber that is opposite the susceptor, the optical reflectivity, optical absorptivity and optical transmissivity of which respectively correspond to those of the layer to be deposited during the layer growth.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: September 30, 2014
    Assignee: Aixtron SE
    Inventor: Gerhard Karl Strauch
  • Patent number: 8846472
    Abstract: A method for fabricating a semiconductor device includes providing a substrate including first landing plugs and second landing plugs that are arrayed on a first line, forming a capping layer over the substrate, forming hole-type first trenches that expose the second landing plugs by selectively etching the capping layer, forming an insulation layer over the substrate including the first trenches, forming line-type second trenches that are stretched on the first line while overlapping with the first trenches by selectively etching the insulation layer, and forming a first conductive layer inside the second trenches.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: September 30, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hong-Gu Yi
  • Patent number: 8815684
    Abstract: A method for forming a fin transistor in a bulk substrate includes forming a super steep retrograde well (SSRW) on a bulk substrate. The well includes a doped portion of a first conductivity type dopant formed below an undoped layer. A fin material is grown over the undoped layer. A fin structure is formed from the fin material, and the fin material is undoped or doped. Source and drain regions are provided adjacent to the fin structure to form a fin field effect transistor.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: August 26, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jin Cai, Kevin K. Chan, Robert H. Dennard, Bruce B. Doris, Barry P. Linder, Ramachandran Muralidhar, Ghavam G. Shahidi
  • Patent number: 8796131
    Abstract: An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: August 5, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Edward E. Jones, Sharad N. Yedave, Ying Tang, Barry Lewis Chambers, Robert Kaim, Joseph D. Sweeney, Oleg Byl, Peng Zou
  • Patent number: 8796119
    Abstract: The present invention relates to semiconductor devices comprising semiconductor nanoelements. In particular the invention relates to devices having a volume element having a larger diameter than the nanoelement arranged in epitaxial connection to the nanoelement. The volume element is being doped in order to provide a high charge carrier injection into the nanoelement and a low access resistance in an electrical connection. The nanoelement may be upstanding from a semiconductor substrate. A concentric layer of low resistivity material forms on the volume element forms a contact.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: August 5, 2014
    Assignee: Qunano AB
    Inventors: Lars Ivar Samuelson, Patrik Svensson, Jonas Ohlsson, Truls Lowgren
  • Patent number: 8765534
    Abstract: A semiconductor apparatus includes a first substrate and a second substrate located over a first portion of the first substrate and separated from the first substrate by a buried layer. The semiconductor apparatus also includes an epitaxial layer located over a second portion of the first substrate and isolated from the second substrate. The semiconductor apparatus further includes a first transistor formed at least partially in the second substrate and a second transistor formed at least partially in or over the epitaxial layer. The second substrate and the epitaxial layer have bulk properties with different electron and hole mobilities. At least one of the transistors is configured to receive one or more signals of at least about 5V. The first substrate could have a first crystalline orientation, and the second substrate could have a second crystalline orientation.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: July 1, 2014
    Assignee: National Semiconductor Corporation
    Inventor: Alexander H. Owens
  • Publication number: 20140134837
    Abstract: A method for manufacturing the semiconductor device may include forming a capping layer including a bit line contact hole on a substrate, forming a spacer on inner walls of the bit line contact hole, forming a bit line contact in the bit line contact hole, forming a bit line layer on the substrate, exposing the spacer by etching the bit line layer, and etching the spacer.
    Type: Application
    Filed: August 6, 2013
    Publication date: May 15, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yoon-Jae KIM
  • Patent number: 8697514
    Abstract: A method for forming a field effect transistor device includes patterning an arrangement of fin portions on a substrate, patterning a gate stack portion over portions of the fin portions and the substrate, growing an epitaxial material from the fin portions that electrically connects portions of adjacent fin structures, and removing a portion of the gate stack portion to expose a portion of the substrate.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: April 15, 2014
    Assignee: International Business Machines Corporation
    Inventors: Shahid A. Butt, Robert C. Wong
  • Patent number: 8691688
    Abstract: A method of processing a substrate is provided. The method includes: providing a substrate, wherein the substrate includes a silicon layer; etching the substrate to form a cavity; filling a first conductor in part of the cavity; performing a first thermal treatment on the first conductor; filling a second conductor in the cavity to fill-up the cavity; and performing a second thermal treatment on the first conductor and the second conductor.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: April 8, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Yu Chen, Yu-Han Tsai, Chun-Ling Lin, Ching-Li Yang, Home-Been Cheng
  • Patent number: 8673784
    Abstract: The method for producing a silicon epitaxial wafer according to the present invention has: a growth step F at which an epitaxial layer is grown on a silicon single crystal substrate; a first polishing step D at which, before the growth step, at least a front surface of the silicon single crystal substrate is polished without using abrasive grains; and a second polishing step G at which at least the front surface of the silicon single crystal substrate is subjected to finish polishing after the growth step.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: March 18, 2014
    Assignee: Sumco Corporation
    Inventors: Shigeru Okuuchi, Shinichi Ogata
  • Patent number: 8617976
    Abstract: A method of forming an integrated circuit structure includes providing a substrate, and epitaxially growing a first semiconductor layer over the substrate. The first semiconductor layer includes a first III-V compound semiconductor material formed of group III and group V elements. The method further includes forming a gate structure on the first semiconductor layer, and forming a gate spacer on at least one sidewall of the gate structure. After the step of forming the gate structure, a second semiconductor layer including a second III-V compound semiconductor material is epitaxially grown on the first semiconductor layer.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 8609518
    Abstract: A method of forming an n-type metal-oxide-semiconductor (NMOS) field-effect transistor (FET) includes forming a silicon germanium layer, and forming a silicon layer over the silicon germanium layer. A gate stack is formed over the silicon layer. The silicon layer is recessed to form a recess adjacent the gate stack. A silicon-containing semiconductor region is epitaxially grown in the recess to form a source/drain stressor, wherein the silicon-containing semiconductor region forms a source/drain region the NMOS FET.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: December 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Clement Hsingjen Wann, Chih-Hsin Ko, Yao-Tsung Huang, Cheng-Ying Huang
  • Patent number: 8536001
    Abstract: A method for forming a semiconductor device is provided. The exemplary method includes: providing a substrate having a gate structure and first spacers on both sidewalls of the gate structure formed on a top surface of the substrate; forming first openings in the substrate by using the first spacers as a mask, wherein the first openings are located on both sides of the gate structure; forming second openings by etching the first openings with an etching gas, wherein each of the second openings is an expansion of a corresponding one of the first openings toward the gate structure and extends to underneath an adjacent first spacer; and forming epitaxial layers in the first openings and the second openings.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: September 17, 2013
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Youfeng He
  • Publication number: 20130181352
    Abstract: Provided are a method of growing carbon nanotubes laterally, including forming catalyst dots to grow carbon nanotubes on a substrate, forming a sacrificial layer including a plurality of nanochannels including regions having the catalyst dots formed therein, and growing carbon nanotubes through the nanochannels, and a field effect transistor using the method.
    Type: Application
    Filed: March 1, 2012
    Publication date: July 18, 2013
    Applicant: Industry-Academic Cooperation Foundation at NamSeoul Unversity
    Inventors: Sun-Woo Lee, Boong-Joo Lee
  • Patent number: 8481416
    Abstract: A semiconductor device includes an inorganic insulating layer on a semiconductor substrate, a contact plug that extends through the inorganic insulating layer to contact the semiconductor substrate and a stress buffer spacer disposed between the node contact plug and the inorganic insulating layer. The device further includes a thin-film transistor (TFT) disposed on the inorganic insulating layer and having a source/drain region extending along the inorganic insulating layer to contact the contact plug. The device may further include an etch stop layer interposed between the inorganic insulating layer and the semiconductor substrate.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: July 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Yu-Gyun Shin, Jong-Wook Lee, Sun-Ghil Lee, In-Soo Jung, Young-Eun Lee, Deok-Hyung Lee
  • Patent number: 8431476
    Abstract: A method of preventing surface decomposition of a III-V compound semiconductor is provided. The method includes forming a silicon film having a thickness from 10 ? to 400 ? on a surface of an III-V compound semiconductor. After forming the silicon film onto the surface of the III-V compound semiconductor, a high performance semiconductor device including, for example, a MOSFET, can be formed on the capped/passivated III-V compound semiconductor. During the MOSFET fabrication, a high k dielectric can be formed on the capped/passivated III-V compound semiconductor and thereafter, activated source and drain regions can be formed into the III-V compound semiconductor.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joel P. de Souza, Keith E. Fogel, Edward W. Kiewra, Steven J. Koester, Christopher C. Parks, Devendra K. Sadana, Shahab Siddiqui
  • Patent number: 8415180
    Abstract: Provided is a method for fabricating a wafer product including an active layer grown on a gallium oxide substrate and allowing an improvement in emission intensity. In step S105, a buffer layer 13 comprised of a Group III nitride such as GaN, AlGaN, or AlN is grown at 600 Celsius degrees on a primary surface 11a of a gallium oxide substrate 11. After the growth of the buffer layer 13, while supplying a gas G2, which contains hydrogen and nitrogen, into a growth reactor 10, the gallium oxide substrate 11 and the buffer layer 13 are exposed to an atmosphere in the growth reactor 11 at 1050 Celsius degrees. A Group III nitride semiconductor layer 15 is grown on the modified buffer layer. The modified buffer layer includes, for example, voids. The Group III nitride semiconductor layer 15 can be comprised of GaN and AlGaN. When the Group III nitride semiconductor layer 15 is formed of these materials, excellent crystal quality is obtained on the modified buffer layer 14.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: April 9, 2013
    Assignees: Sumitomo Electric Industries, Ltd., Koha Co., Ltd.
    Inventors: Shin Hashimoto, Katsushi Akita, Kensaku Motoki, Shinsuke Fujiwara, Hideaki Nakahata
  • Patent number: 8415772
    Abstract: A method of preventing surface decomposition of a III-V compound semiconductor is provided. The method includes forming a silicon film having a thickness from 10 ? to 400 ? on a surface of an III-V compound semiconductor. After forming the silicon film onto the surface of the III-V compound semiconductor, a high performance semiconductor device including, for example, a MOSFET, can be formed on the capped/passivated III-V compound semiconductor. During the MOSFET fabrication, a high k dielectric can be formed on the capped/passivated III-V compound semiconductor and thereafter, activated source and drain regions can be formed into the III-V compound semiconductor.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joel P. de Souza, Keith E. Fogel, Edward W. Kiewra, Steven J. Koester, Christopher C. Parks, Devendra K. Sadana, Shahab Siddiqui
  • Patent number: 8394712
    Abstract: A gate stack is formed on a silicon substrate, and source/drain extension regions are formed around the gate stack. A dielectric spacer is formed around the gate stack. A pair of trenches is formed around the gate stack and the dielectric spacer by an etch so that sidewalls of the source/drain extension regions are exposed. Within each trench, an n-doped silicon liner is deposited on the sidewalls of the trenches by a first selective epitaxy process so that the interface between the dielectric spacer and the source/drain extension region is covered. Within each trench, an n-doped single crystalline silicon-carbon alloy is subsequently deposited to fill the trench by a second selective epitaxy process. A combination of an n-doped single crystalline silicon liner and an n-doped single crystalline silicon-carbon alloy functions as embedded source/drain regions of an n-type field effect transistor (NFET), which applies a tensile stress to the channel of the transistor.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: March 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Abhishek Dube, Viorel Ontalus
  • Patent number: 8361859
    Abstract: An embedded, strained epitaxial semiconductor material, i.e., an embedded stressor element, is formed at the footprint of at least one pre-fabricated field effect transistor that includes at least a patterned gate stack, a source region and a drain region. As a result, the metastability of the embedded, strained epitaxial semiconductor material is preserved and implant and anneal based relaxation mechanisms are avoided since the implants and anneals are performed prior to forming the embedded, strained epitaxial semiconductor material.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: January 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Thomas N. Adam, Stephen W. Bedell, Abhishek Dube, Eric C. T. Harley, Judson R. Holt, Alexander Reznicek, Devendra K. Sadana, Dominic J. Schepis, Matthew W. Stoker, Keith H. Tabakman
  • Patent number: 8361896
    Abstract: A connector assembly includes a substrate and a connector. The substrate includes a ground layer and a trace layer. The substrate defines a substrate edge, and the ground layer defines a ground edge. The connector is mounted on the substrate such that a portion of the connector overhangs the substrate edge of the substrate. The connector includes a first signal contact that defines a mating portion, a mounting portion, a first transition portion connected to the mating portion, and a second transition portion connected to the first transition portion and the mounting portion. The first transition portion of the signal contact at least partially crosses the ground edge such that a gap is defined between the ground edge and the first transition portion and a substantial portion of the second transition portion extends over the gap when the electrical connector is mounted on the substrate.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: January 29, 2013
    Assignee: FCI
    Inventor: Jan De Geest
  • Publication number: 20130023111
    Abstract: Semiconductor devices and methods for making such devices are described. The semiconductor devices contain an epitaxial layer made by providing a semiconductor substrate containing an upper surface with a single-crystal structure; forming a layer on the upper surface of the substrate, wherein the layer comprises substantially the same material as the semiconductor substrate and comprises an amorphous or polycrystalline structure; and heating the layer using low temperature microwaves to change the amorphous structure to a single-crystal structure. The epitaxial layer can also be made by providing the semiconductor substrate with an upper surface of a single-crystal material and then forming an epitaxial layer on the substrate upper surface using microwaves at a wafer temperature less than about 550° C. In-situ or implanted dopants in the epitaxial layer can be activated using the same, or separate, low temperature microwave processing. Other embodiments are described.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 24, 2013
    Inventor: Robert J. Purtell
  • Patent number: 8293577
    Abstract: A semiconductor package is disclosed that includes a semiconductor device; a circuit board; and a connection mechanism including a first conductive terminal provided on the semiconductor device, and a second conductive terminal provided on the circuit board side, the connection mechanism electrically connecting the semiconductor device and the circuit board via the first conductive terminal and the second conductive terminal. At least one of the first conductive terminal and the second conductive terminal of the connection mechanism includes one or more carbon nanotubes each having one end thereof fixed to the surface of the at least one of the first conductive terminal and the second conductive terminal, and extending in a direction away from the surface. The first conductive terminal and the second conductive terminal engage each other through the carbon nanotubes.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: October 23, 2012
    Assignee: Fujitsu Limited
    Inventors: Yuji Awano, Masataka Mizukoshi
  • Patent number: RE45232
    Abstract: A method of manufacturing a semiconductor device having the steps of forming an insulating layer on a silicon substrate, forming a contact hole on the insulating layer, forming a selective silicon layer in the contact hole, and forming a selective conductive plug on the selective silicon layer.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: November 4, 2014
    Assignee: Conversant IP N.B. 868 Inc.
    Inventors: Dae Hee Weon, Seok Kiu Lee