Having Viahole Of Tapered Shape Patents (Class 438/640)
  • Patent number: 8753974
    Abstract: Structures and methods for the dissipation of charge build-up during the formation of cavities in semiconductor substrates.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: June 17, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Brian Griffin, Russ Benson
  • Patent number: 8748221
    Abstract: The present invention discloses a nanoball solution coating method and applications thereof. The method comprises steps: using a scraper to coat a nanoball solution on a substrate to attach a plurality of nanoballs on the substrate; flushing or flowing through the substrate with a heated volatile solution to suspend the nanoballs unattached to the substrate in the volatile solution; and using the scraper to scrape off the volatile solution carrying the suspended nanoballs, whereby is simplified the process to coat nanoballs. The method can be used to fabricate nanoporous films, organic vertical transistors, and large-area elements and favors mass production.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: June 10, 2014
    Assignee: National Chiao Tung University
    Inventors: Hsin-Fei Meng, Hsiao-Wen Zan, Yen-Chu Chao, Kai-Ruei Wang, Yung-Hsuan Hsu
  • Patent number: 8742588
    Abstract: The present invention provides a method of forming a via hole (9), or a via (7), from a lower side (5) of a substrate (3) for electronic devices towards an upper side (4) of a substrate (3) at least partly through the substrate (3). The method comprises the steps of: etching a first lengthwise portion (11) of the via hole (9) and etching a second lengthwise portion (12) of the via hole (9); whereby the first lengthwise portion (11) and the second lengthwise portion (12) substantially form the via hole (9) and a constriction (23) is formed in the via hole (9). The constriction (23) defines an aperture (24) of the via hole (9) and the method further comprises the step of opening the via hole (9) by etching, with the constriction (23) functioning as an etch mask. A via is formed by at least partly filling the via hole with conductive material. A substrate for electronic devices comprising a via is also provided.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: June 3, 2014
    Assignee: ÅAC Microtec AB
    Inventors: Peter Nilsson, Jürgen Leib, Robert Thorslund
  • Patent number: 8741769
    Abstract: Disclosed is a process of making a semiconductor device wherein an insulation layer has a copper plug in contact with the last wiring layer of the device. There may also be a barrier layer separating the copper plug from the insulation layer. There may also be a cap layer over the copper plug to protect it from oxidation. There may also be a dielectric layer over the cap layer.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: June 3, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Emily R. Kinser, Ian D. Melville, Krystyna Waleria Semkow
  • Patent number: 8736066
    Abstract: A microelectronic assembly is provided which includes a first element consisting essentially of at least one of semiconductor or inorganic dielectric material having a surface facing and attached to a major surface of a microelectronic element at which a plurality of conductive pads are exposed, the microelectronic element having active semiconductor devices therein. A first opening extends from an exposed surface of the first element towards the surface attached to the microelectronic element, and a second opening extends from the first opening to a first one of the conductive pads, wherein where the first and second openings meet, interior surfaces of the first and second openings extend at different angles relative to the major surface of the microelectronic element. A conductive element extends within the first and second openings and contacts the at least one conductive pad.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: May 27, 2014
    Assignee: Tessera, Inc.
    Inventors: Vage Oganesian, Belgacem Haba, Ilyas Mohammed, Craig Mitchell, Piyush Savalia
  • Patent number: 8723328
    Abstract: To provide a multilayer wiring substrate in which the connection reliability of via conductors is enhanced, via holes are formed in a resin interlayer insulation layer which isolates a lower conductor layer from an upper conductor layer, and via conductors are formed in the via holes for connecting the lower conductor layer and the upper conductor layer. The surface of the resin interlayer insulation layer is a rough surface, and the via holes open at the rough surface of the resin interlayer insulation layer. Stepped portions are formed in opening verge regions around the via holes such that the stepped portions are recessed from peripheral regions around the opening verge regions. The stepped portions are higher in surface roughness than the peripheral regions.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: May 13, 2014
    Assignee: NGK Spark Plug Co., Ltd.
    Inventor: Shinnosuke Maeda
  • Patent number: 8723193
    Abstract: A multiple-layer wiring substrate having a first conductive layer; an interlayer insulating layer; and a second conductive layer is disclosed, wherein the interlayer insulating layer includes a material whose surface energy is changed by receiving energy, and has a first region which does not include a contact hole and a second region which is formed such that its surface energy is higher than that of the first region, wherein a region within the contact hole of the first conductive layer has surface energy which is higher than surface energy of the second region of the interlayer insulating layer, and wherein the second conductive layer is formed by laminating, wherein the second conductive layer is in contact with the second region of the interlayer insulating layer along the second region, and is connected to the first conductive layer via the contact hole.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: May 13, 2014
    Assignee: Ricoh Company, Ltd.
    Inventors: Atsushi Onodera, Koei Suzuki, Hiroshi Miura, Takanori Tano
  • Patent number: 8716141
    Abstract: A III-N semiconductor device can include an electrode-defining layer having a thickness on a surface of a III-N material structure. The electrode-defining layer has a recess with a sidewall, the sidewall comprising a plurality of steps. A portion of the recess distal from the III-N material structure has a first width, and a portion of the recess proximal to the III-N material structure has a second width, the first width being larger than the second width. An electrode is in the recess, the electrode including an extending portion over the sidewall of the recess. A portion of the electrode-defining layer is between the extending portion and the III-N material structure. The sidewall forms an effective angle of about 40 degrees or less relative to the surface of the III-N material structure.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: May 6, 2014
    Assignee: Transphorm Inc.
    Inventors: Yuvaraj Dora, Yifeng Wu
  • Patent number: 8709942
    Abstract: In a method for fabricating a semiconductor device, a substrate is provided including an interlayer dielectric layer and first and second hard mask patterns sequentially stacked thereon. A first trench is provided in the interlayer dielectric layer through the second hard mask pattern and the first hard mask pattern. A filler material is provided on the interlayer dielectric layer and the second hard mask pattern to fill the first trench. An upper portion of the second hard mask pattern is exposed by partially removing the filler material. The second hard mask pattern is removed, and remaining filler material is removed from the first trench. A wiring is formed by filling the first trench with a conductive material.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: April 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Jine Park, Kee-Sang Kwon, Doo-Sung Yun, Bo-Un Yoon, Il-Young Yoon, Jeong-Nam Han
  • Patent number: 8710648
    Abstract: A method to provide a wafer level package with increasing contact pad area comprising the steps of forming a first packaging layer on wafer top surface, grinding the wafer back surface and etch through holes, depositing a metal to fill the through holes and covering wafer backside, cutting through the wafer from wafer backside forming a plurality of grooves separating each chip then depositing a second packaging layer filling the grooves and covering the wafer back metal, reducing the first packaging layer thickness to expose the second packaging layer filling the grooves and forming a plurality of contact pads overlaying the first packaging layer thereafter cutting through the second packaging layer in the grooves to form individual package.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: April 29, 2014
    Assignee: Alpha & Omega Semiconductor, Inc.
    Inventor: Yan Xun Xue
  • Patent number: 8703606
    Abstract: When a wiring structure is formed by a trench-first dual damascene method, a first hard mask for forming via holes and a second hard mask for forming wiring trenches are sequentially formed on an interlayer insulating film, openings are formed at the first hard mask while using the second hard mask as a mask, and thereafter, the openings are expanded in a lateral direction by an isotropic etching to form openings, via holes are formed by etching the interlayer insulating film while using the first hard mask and the second hard mask as masks, and wiring trenches communicating with the via holes are formed by etching the interlayer insulating film while using the second hard mask as a mask.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: April 22, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tomoyuki Kirimura
  • Patent number: 8703609
    Abstract: A method of fabricating a semiconductor device including providing a substrate having a front surface and a back surface. A masking element is formed on the front surface of the substrate. The masking element includes a first layer having a first opening and a second layer having a second opening of a greater width than the first opening. The second opening is a tapered opening. The method further includes etching a tapered profile via extending from the front surface to the back surface of the substrate using the formed masking element.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Cheng Kuo, Chen Chen-Shien, Kai-Ming Ching, Chih-Hua Chen
  • Publication number: 20140087556
    Abstract: A method of manufacturing a wiring substrate, includes, forming an etching stop layer and a first wiring layer on a supporting member, forming a first insulating layer on the first wiring layer, forming a via hole reaching the first wiring layer, and forming the wiring layers of an n-layer and the insulating layers of an n-layer, removing the supporting member and the etching stop layer, thereby forming a build-up intermediate body, forming a second insulating layer on the wiring layer of an n-th layer, and forming a third insulating layer on first wiring layer, forming a via hole reaching the wiring layer of the n-th layer, and forming a via hole reaching the first wiring layer, forming a roughened face to the third insulating layer, and forming a second wiring layer connected to the wiring layer, and forming a third wiring layer connected to the first wiring layer.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 27, 2014
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Kazuhiro KOBAYASHI, Kotaro KODANI, Junichi NAKAMURA, Kentaro KANEKO
  • Patent number: 8673771
    Abstract: According to one embodiment, a pattern forming method comprises forming, on a metal layer and an insulating layer, an underlying layer the surface state of which is changeable by irradiation with a light ray, radiating the light ray to the underlying layer, thereby changing the surface state of a portion of the underlying layer above the metal layer, forming a block polymer layer on the underlying layer, forming, on the underlying layer, a directed self-assembly phase which contains a first polymer portion and a second polymer portion, the first polymer portion being positioned above the underlying layer portion the surface state of which has been changed by the radiation of the light ray, removing the first polymer portion, and the underlying layer portion underneath the first polymer portion to make a hole, and burying a conductive film into the hole.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: March 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Daisuke Kawamura
  • Patent number: 8664114
    Abstract: A method for fabricating an image sensor includes at least one of: (1) Forming a gate on a semiconductor substrate; (2) Forming spacers on both side walls of the gate and forming a dummy pattern on an upper portion of the semiconductor substrate; and (3) Forming a metal pad for an electrical connection on an upper portion of the dummy pattern. The method may include at least one of: (1) Forming an interlayer dielectric layer covering the entire semiconductor substrate, (2) Etching portions of the interlayer dielectric layer and the semiconductor substrate to form a super-contact hole; and (3) forming an insulation film on the entire surface of the interlayer dielectric layer. The method may include forming normal contact holes such that a portion of an upper portion of the gate and a partial region of the metal pad for an electrical connection are exposed and filling up the normal contact holes with a conductive material to form normal contacts.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: March 4, 2014
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ki-Jun Yun
  • Patent number: 8648404
    Abstract: A three-dimensional semiconductor device includes a semiconductor substrate, a plurality of conductive layers and insulating layers, and a plurality of contacts. The plurality of conductive layers and insulating layers are stacked alternately above the semiconductor substrate. The plurality of contacts extend in a stacking direction of the plurality of conductive layers and insulating layers. The plurality of conductive layers form a stepped portion having positions of ends of the plurality of conductive layers gradually shifted from an upper layer to a lower layer. The plurality of contacts are connected respectively to each of steps of the stepped portion. The stepped portion is formed such that, at least from an uppermost conductive layer to a certain conductive layer, the more upwardly the conductive layer is located, the broader a width of the step is.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: February 11, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nikka Ko, Katsunori Yahashi
  • Patent number: 8640072
    Abstract: A method includes forming a connection between a first metal layer and a second metal layer. The second metal layer is over the first metal layer. A via location for a first via between the first metal layer and the second metal layer is identified. Additional locations for first additional vias are determined. The first additional vias are determined to be necessary for stress migration issues. Additional locations necessary for second additional vias are determined. The second additional vias are determined to be necessary for electromigration issues. The first via and the one of the group consisting of (i) the first additional vias and second additional vias (ii) the first additional vias plus a number of vias sufficient for electromigration issues taking into account that the first additional vias, after taking into account the stress migration issues, still have an effective via number greater than zero.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: January 28, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Douglas M. Reber, Mehul D. Shroff, Edward O. Travis
  • Patent number: 8629058
    Abstract: Methods for forming a via structure are provided. The method includes depositing a first-layer conductive line over a semiconductor substrate, forming a dielectric layer over the first-layer conductive line, forming a via opening in the dielectric layer and exposing the first-layer conductive line in the via opening, forming a recess portion in the first-layer conductive line, and filling the via opening to form a via extending through the dielectric layer to the first-layer conductive line. The via has a substantially tapered profile and substantially extends into the recess in the first-layer conductive line.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shau-Lin Shue, Cheng-Lin Huang, Ching-Hua Hsieh
  • Patent number: 8629057
    Abstract: Semiconductor substrates with unitary vias and via terminals, and associated systems and methods are disclosed. A representative method in accordance with a particular embodiment includes forming a blind via in a semiconductor substrate, applying a protective layer to a sidewall surface of the via, and forming a terminal opening by selectively removing substrate material from an end surface of the via, while protecting from removal substrate material against which the protective coating is applied. The method can further include disposing a conductive material in both the via and the terminal opening to form an electrically conductive terminal that is unitary with conductive material in the via. Substrate material adjacent to the terminal can then be removed to expose the terminal, which can then be connected to a conductive structure external to the substrate.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: January 14, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, Kunal R. Parekh
  • Patent number: 8624253
    Abstract: To improve the reliability of contact with an anisotropic conductive film in a semiconductor device such as a liquid crystal display panel, a terminal portion of a connecting wiring on an active matrix substrate is electrically connected to an FPC by an anisotropic conductive film. The connecting wiring is made of a lamination film of a metallic film and a transparent conductive film. In the connecting portion with the anisotropic conductive film, a side surface of the connecting wiring is covered with a protecting film made of an insulating material, thereby exposure to air of the metallic film can be avoided.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: January 7, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20130341802
    Abstract: Integrated circuit packages comprise vias, each of which extends from a pad in communication with an integrated circuit on a semiconductor chip through insulating material overlying the semiconductor chip to an attachment surface facing a substrate. The portion of each via proximate the attachment surface is laterally offset from the portion proximate the pad from which it extends in a direction away from the centre of the semiconductor chip. Metallic material received in the vias mechanically and electrically interconnects the semiconductor chip to the substrate.
    Type: Application
    Filed: June 25, 2012
    Publication date: December 26, 2013
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Michael Z. Su, Fu Lei, Frank Kuechenmeister
  • Patent number: 8586470
    Abstract: A multilevel interconnect structure for a semiconductor device includes an intermetal dielectric layer with funnel-shaped connecting vias. The funnel-shaped connecting vias are provided in connection with systems exhibiting submicron spacings. The architecture of the multilevel interconnect structure provides a low resistance connecting via.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: November 19, 2013
    Assignee: STMicroelectronics S.r.l.
    Inventors: Antonio Di Franco, Silvio Cristofalo, Marco Bonifacio
  • Patent number: 8569167
    Abstract: Methods of forming a Ni material on a bond pad are disclosed. The methods include forming a dielectric material over a bond pad, forming an opening within the dielectric material to expose the bond pad, curing the dielectric material to form a surface of the dielectric material having a steep curvilinear profile, and forming a nickel material over the at least one bond pad. The dielectric material having a steep curvilinear profile may be formed by altering at least one of a curing process of the dielectric material and a thickness of the dielectric material. The dielectric material may be used to form a relatively thick Ni material on bond pads smaller than about 50 ?m. Semiconductor structures formed by such methods are also disclosed.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: October 29, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Ghandi, Don L. Yates, Yangyang Sun
  • Patent number: 8563426
    Abstract: Vertical contact structures, such as contact elements connected to semiconductor-based contact regions in device areas comprising densely-spaced gate electrode structures, are formed for given lithography and patterning capabilities by incorporating at least one additional dielectric layer of superior tapering behavior into the dielectric material system.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: October 22, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Dmytro Chumakov, Tino Hertzsch
  • Patent number: 8524599
    Abstract: Methods of forming conductive elements, such as interconnects and electrodes, for semiconductor structures and memory cells. The methods include forming a first conductive material and a second conductive material comprising silver in a portion of at least one opening and performing a polishing process to fill the at least one opening with at least one of the first and second conductive materials. An annealing process may be performed to form a mixture or an alloy of the silver and the first conductive material. The methods enable formation of silver containing conductive elements having reduced dimensions (e.g., less than about 20 nm). The resulting conductive elements have a desirable resistivity. The methods may be used, for example, to form interconnects for electrically connecting active devices and to form electrodes for memory cells. A semiconductor structure and a memory cell including such a conductive structure are also disclosed.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: September 3, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Scott E. Sills, Whitney L. West, Rob B. Goodwin, Nishant Sinha
  • Patent number: 8519538
    Abstract: The present disclosure provides methods for forming semiconductor devices with laser-etched vias and apparatus including the same. In one embodiment, a method of fabricating a semiconductor device includes providing a substrate having a frontside and a backside, and providing a layer above the frontside of the substrate, the layer having a different composition from the substrate. The method further includes controlling a laser power and a laser pulse number to laser etch an opening through the layer and at least a portion of the frontside of the substrate, filling the opening with a conductive material to form a via, removing a portion of the backside of the substrate to expose the via, and electrically coupling a first element to a second element with the via. A semiconductor device fabricated by such a method is also disclosed.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: August 27, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsing-Kuo Hsia, Chih-Kuang Yu, Ching-Hua Chiu, Troy Wu
  • Patent number: 8513130
    Abstract: A semiconductor substrate includes a wafer including an element area and a non-element area delineating the element area, a first layered structure situated in the element area, a first insulating film covering the first layered structure, and exhibiting a first etching rate with respect to an etching recipe, a second insulating film covering the first layered structure covered by the first insulating film in the element area, and exhibiting a second etching rate with respect to the etching recipe, the second etching rate being greater than the first etching rate, and a second layered structure situated in the non-element area, wherein the second layered structure includes at least a portion of the first layered structure.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: August 20, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tetsuo Yaegashi
  • Patent number: 8492271
    Abstract: An object of the invention is to fully fill a wiring material in via holes formed in a low-hardness interlayer insulating film and a high-hardness interlayer insulating film, respectively, upon forming a Cu wiring in interlayer insulating films by using the dual damascene process. According to the invention, a second interlayer insulating film has therein both a wiring trench and a via hole. The via hole has, at the opening portion thereof, a recess portion having a tapered cross-sectional shape. It is formed by causing the second interlayer insulating film to retreat obliquely downward. The diameter of the opening portion of the via hole therefore becomes greater than the diameter of a region below the opening portion and it becomes possible to fully fill a wiring material in the via hole even if the via hole has a fine diameter.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: July 23, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Kazuo Tomita
  • Patent number: 8487447
    Abstract: A semiconductor structure which includes a plurality of stacked semiconductor chips in a three dimensional configuration. There is a first semiconductor chip in contact with a second semiconductor chip. The first semiconductor chip includes a through silicon via (TSV) extending through the first semiconductor chip; an electrically conducting pad at a surface of the first semiconductor chip, the TSV terminating in contact at a first side of the electrically conducting pad; a passivation layer covering the electrically conducting pad, the passivation layer having a plurality of openings; and a plurality of electrically conducting structures formed in the plurality of openings and in contact with a second side of the electrically conducting pad, the contact of the plurality of electrically conducting structures with the electrically conducting pad being offset with respect to the contact of the TSV with the electrically conducting pad.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mario J. Interrante, Gary LaFontant, Michael J. Shapiro, Thomas A. Wassick, Bucknell C. Webb
  • Patent number: 8481887
    Abstract: A method for laser machining through micro-holes having desired geometric cross-section requirements in a thin, substantially homogenous material.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: July 9, 2013
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Mehmet E. Alpay, Jeffrey Howerton, Michael Nashner, Ling Wen
  • Patent number: 8466057
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a substrate; forming a via hole in the substrate, the via hole having a top end and a bottom end with the bottom end is larger than the top end; forming a pad on the substrate, the pad encloses the top end of the via hole; and reflowing a conductive filler having higher volume than the via hole over the via hole, the conductive filler having a protrusion extending from the bottom end and the bottom end entirely overlaps at least one surface of the protrusion.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: June 18, 2013
    Assignee: Stats Chippac Ltd.
    Inventors: Linda Pei Ee Chua, Byung Tai Do, Reza Argenty Pagaila
  • Patent number: 8455350
    Abstract: A method for manufacturing an integrated circuit system that includes: forming a substrate with an active region; depositing a material over the substrate to act as an etch stop and define a source and a drain; depositing a first dielectric over the substrate; processing the first dielectric to form features within the first dielectric including a shield; and depositing fill within the features to electrically connect the shield to the source of the active region by a single process step.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: June 4, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventor: Xavier Seah Teo Leng
  • Patent number: 8455357
    Abstract: A method of plating via hole in a substrate includes providing a substrate having a first side and a second side and a plurality of through substrate via holes; depositing a first seed layer on the first side of the substrate; applying a foil on the first seed layer of the substrate closing the first ends of the plurality of via holes; electro-chemical plating of the second side of the substrate; and removing the foil.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: June 4, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Willem Frederik Adrianus Besling, Freddy Roozeboom, Yann Pierre Roger Lamy
  • Patent number: 8436473
    Abstract: An integrated circuit includes an interconnect structure at least partially disposed in at least one opening of a dielectric layer that is disposed over a substrate. At least one air gap is disposed between the dielectric layer and the interconnect structure. At least one first liner material is disposed under the at least one air gap. At least one second liner material is disposed around the interconnect structure. The at least one first liner material is disposed between the dielectric layer and at least one second liner material.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chii-Ping Chen, Chih-Hao Chen
  • Patent number: 8431482
    Abstract: Integrated circuits, a process for recessing an embedded copper feature within a substrate, and a process for recessing an embedded copper interconnect within an interlayer dielectric substrate of an integrated circuit are provided. In an embodiment, a process for recessing an embedded copper feature, such as an embedded copper interconnect, within a substrate, such as an interlayer dielectric substrate, includes providing a substrate having an embedded copper feature disposed therein. The embedded copper feature has an exposed surface and the substrate has a substrate surface adjacent to the exposed surface of the embedded copper feature. The exposed surface of the embedded copper feature is nitrided to form a layer of copper nitride in the embedded copper feature. Copper nitride is selectively etched from the embedded copper feature to recess the embedded copper feature within the substrate.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: April 30, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Errol T. Ryan, Xunyuan Zhang
  • Patent number: 8426299
    Abstract: A method of fabricating a semiconductor device may include: alternatively stacking dielectric layers and conductive layers on a substrate to form a stack structure, forming a first photoresist pattern on the stack structure, forming a second photoresist pattern whose thickness is reduced as the second photoresist pattern extends from the center of the stack structure towards a periphery of the stacked structure by performing a heat treatment on the first photoresist pattern, etching the stack structure through the second photoresist pattern to form a slope profile on the stack structure whose thickness is reduced as the slope profile extends from the center of the stack structure towards a periphery of the stacked structure, and forming a step-type profile on the end part of the stack structure by selectively etching the dielectric layer.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: April 23, 2013
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Joon-Sung Kim, Hye-Soo Shin, Mi-Youn Kim, Young-Soo Kim
  • Patent number: 8404580
    Abstract: In a method for fabricating a semiconductor device, a semiconductor device is provided including an interlayer dielectric film and first and second hard mask patterns sequentially stacked thereon. A first trench is provided in the interlayer dielectric film through the second hard mask pattern and the first hard mask pattern. A filler material is provided on the interlayer dielectric film and the first and second hard mask patterns to fill the first trench. First and second hard mask trimming patterns are formed by trimming sidewalls of the first and second hard mask patterns and removing the filler material to expose the first trench. A damascene wire is formed by filling the first trench with a conductive material.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: March 26, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Jine Park, Bo-Un Yoon, Jeong-Nam Han, Yoon-Hae Kim, Doo-Sung Yun
  • Publication number: 20130069244
    Abstract: A rectangular via extending between interconnects in different metallization levels can have a planform with a width equal to the width of the interconnects and a length equal to twice the width and can be aligned along a long dimension with a length of the upper interconnect. In an integrated circuit layout, the planform can be centered over the width of the lower interconnect, allowing for misalignment during fabrication while maintaining a robust electrical connection. The bottom of the via may be aligned with an upper surface of the lower interconnect or may include portions below the lower interconnect's upper surface. Fewer adjacent routing tracks are blocked by use of the rectangular via than would be blocked using redundant square vias, while ensuring reliability of the electrical connection despite potential misalignment during fabrication.
    Type: Application
    Filed: June 21, 2012
    Publication date: March 21, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8399930
    Abstract: There is provided a semiconductor device that includes: a transistor having a gate electrode, a source region, and a drain region; a first inter-layer insulation film covering the transistor; a first contact plug formed penetrating through the first inter-layer insulation film and connected to either the source region or the drain region; a second inter-layer insulation film covering the first contact plug; a groove extending in the second inter-layer insulation film in a same direction as an extending direction of the gate electrode and exposing a top surface of the first contact plug at a bottom thereof; a second contact plug connected to the first contact plug and formed in the groove; and a wiring pattern extending on the second inter-layer insulation film so as to traverse the groove and integrated with the second contact plug.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: March 19, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Atsushi Maekawa
  • Patent number: 8394718
    Abstract: A method for forming a through silicon via (TSV) in a substrate may include forming a dielectric layer on the substrate; forming an opening through the dielectric layer and into the substrate using a single mask over the dielectric layer; expanding the opening in the dielectric layer, undercutting the single mask, to form an expanded upper portion; removing the single mask; and filling the opening, including the expanded upper portion, with a conductor. A resulting structure may include a substrate; a dielectric layer over the substrate; and a self-aligned through silicon via (TSV) extending through the dielectric layer and the substrate.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: March 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Robert K. Leidy, Anthony K. Stamper
  • Patent number: 8377820
    Abstract: In a “via first/trench last” approach for forming metal lines and vias in a metallization system of a semiconductor device, a combination of two hard masks may be used, wherein the desired lateral size of the via openings may be defined on the basis of spacer elements, thereby resulting in significantly less demanding lithography conditions compared to conventional approaches.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: February 19, 2013
    Assignee: GlobalFoundries Inc.
    Inventors: Thomas Werner, Kai Frohberg, Frank Feustel
  • Patent number: 8378496
    Abstract: The interlayer connection of the substrate is formed by a contact-hole filling (4) of a semiconductor layer (11) and metallization (17) of a recess (16) in a reverse-side semiconductor layer (13), wherein the semiconductor layers are separated from each other by a buried insulation layer (12), at whose layer position the contact-hole filling or the metallization ends.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: February 19, 2013
    Assignee: austriamicrosystems AG
    Inventors: Franz Schrank, Martin Schrems, Jochen Kraft
  • Patent number: 8378498
    Abstract: A patterned adhesive layer including holes is employed to attach a coreless substrate layer to a stiffner. The patterned adhesive layer is confined to kerf regions, which are subsequently removed during singulation. Each hole in the patterned adhesive layer has an area that is greater than the area of a bottomside interconnect footprint of the coreless substrate. The patterned adhesive layer may include a permanent adhesive that is thermally curable or ultraviolet-curable. The composition of the stiffner can be tailored so that the thermal coefficient of expansion of the stiffner provides tensile stress to the coreless substrate layer at room temperature and at the bonding temperature. The tensile stress applied to the coreless substrate layer prevents or reduces warpage of the coreless substrate layer during bonding. Upon dicing, bonded stacks of a semiconductor chip and a coreless substrate can be provided without adhesive thereupon.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventor: Edmund Blackshear
  • Patent number: 8368076
    Abstract: To improve the reliability of contact with an anisotropic conductive film in a semiconductor device such as a liquid crystal display panel, a terminal portion of a connecting wiring on an active matrix substrate is electrically connected to an FPC by an anisotropic conductive film. The connecting wiring is made of a lamination film of a metallic film and a transparent conductive film. In the connecting portion with the anisotropic conductive film, a side surface of the connecting wiring is covered with a protecting film made of an insulating material, thereby exposure to air of the metallic film can be avoided.
    Type: Grant
    Filed: May 3, 2012
    Date of Patent: February 5, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8357571
    Abstract: Methods of forming semiconductor devices having customized contacts are provided including providing a first insulator layer and patterning the first insulator layer such that the first insulator layer defines at least one contact window. A second insulator layer is provided on the first insulator layer and in the at least one contact window such that the second insulator layer at least partially fills the at least one contact window. A first portion of the second insulator layer is etched such that a second portion of the second insulator layer remains in the at least one contact window to provide at least one modified contact window having dimensions that are different than dimensions of the at least one contact window. Related methods and devices are also provided.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: January 22, 2013
    Assignee: Cree, Inc.
    Inventors: Fabian Radulescu, Jennifer Gao, Jennifer Duc, Scott Sheppard
  • Patent number: 8349639
    Abstract: A method for manufacturing an image sensor includes forming circuitry including a metal line over a semiconductor substrate, forming a photodiode over the metal line, and forming a contact plug in the photodiode such that the contact plug is connected to the metal line. The forming of the contact plug includes performing a first etch process to etch a portion of the photodiode, and performing a second etch process to expose a portion of the metal line by using a byproduct generated in etching, to form a via hole for the contact plug in the photodiode.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: January 8, 2013
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ki-Jun Yun
  • Patent number: 8329582
    Abstract: A semiconductor device comprises insulating layer including damascene patterns and formed over a semiconductor substrate, conductive line formed higher than the insulating layer within the respective damascene patterns, and interference-prevention grooves formed within the damascene patterns between sidewalls of the conductive line and the insulating layer.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: December 11, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Kyung Kim
  • Patent number: 8319347
    Abstract: An electronic device package and a fabrication method thereof are provided. The fabrication method includes providing a semiconductor substrate containing a plurality of chips having a first surface and an opposite second surface. A plurality of conductive electrodes is disposed on the first surface and the conductive electrodes of the two adjacent chips are arranged asymmetrically along side direction of the chip. A plurality of contact holes is formed in each chip, apart from the side of the chip, to expose the conductive electrodes.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: November 27, 2012
    Inventors: Chia-Lun Tsai, Wen-Cheng Chien, Po-Han Lee, Wei-Ming Chen
  • Patent number: 8314026
    Abstract: A conductive via and a method of forming. The conductive via includes a portion located between a conductive contact structure and an overhang portion of a dielectric layer located above the conductive contact structure. In one embodiment, the overhang portion is formed by forming an undercutting layer over the conductive contact structure and then forming a dielectric layer over the conductive contact structure and the undercutting layer. An opening is formed in the dielectric layer and material of the undercutting layer is removed through the opening to create an overhang portion of the dielectric layer. Conductive material of the conductive via is then formed under the overhang portion and in the opening.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: November 20, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Trent S. Uehling
  • Patent number: 8294034
    Abstract: A circuit board including a circuit substrate, a first dielectric layer, an antagonistic activation layer, a first conductive layer, a second conductive layer and a second dielectric layer is provided. The circuit substrate has a first surface and a first circuit layer. The first dielectric layer is disposed on the circuit substrate and covers the first surface and the first circuit layer. The first dielectric layer has a second surface, at least a blind via extending from the second surface to the first circuit layer and an intaglio pattern. The antagonistic activation layer is disposed on the second surface of the dielectric layer. The first conductive layer is disposed in the blind via. The second conductive layer is disposed in the intaglio pattern and the blind via and covers the first conductive layer. The second conductive layer is electrically connected with the first circuit layer via the first conductive layer.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: October 23, 2012
    Assignee: Unimicron Technology Corp.
    Inventors: Tzyy-Jang Tseng, Shu-Sheng Chiang, Tsung-Yuan Chen