Having Viahole Of Tapered Shape Patents (Class 438/640)
  • Patent number: 7846834
    Abstract: A semiconductor structure is provided that includes a lower interconnect level including a first dielectric material having at least one conductive feature embedded therein; a dielectric capping layer located on the first dielectric material and some, but not all, portions of the at least one conductive feature; and an upper interconnect level including a second dielectric material having at least one conductively filled via and an overlying conductively filled line disposed therein, wherein the conductively filled via is in contact with an exposed surface of the at least one conductive feature of the first interconnect level by an anchoring area. Moreover, the conductively filled via and conductively filled line of the inventive structure are separated from the second dielectric material by a single continuous diffusion barrier layer. As such, the second dielectric material includes no damaged regions in areas adjacent to the conductively filled line.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: December 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Conal E. Murray
  • Patent number: 7838417
    Abstract: A semiconductor package includes a support plate made of an electrically non-conducting material. Electrical connection vias are formed outside a chip fixing region provided on the front face of the support plate. Electrical connection wires connect pads on a front of the chip to pads on the front of the support plate associated with the electrical connection vias. The front face of the support plate is further provided with at least one intermediate front layer made of a thermally conducting material extending at least partly below the chip. The rear face of the support plate is provided with at least one rear layer made of a thermally conducting material extending at least partly opposite the front layer. The front and rear layers are connected by vias made of a thermally conducting material that fills through-holes made through the plate.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: November 23, 2010
    Assignee: STMicroelectronics S.A.
    Inventor: Jerome Lopez
  • Patent number: 7824743
    Abstract: Embodiments described herein provide a method for forming two titanium nitride materials by different PVD processes, such that a metallic titanium nitride layer is initially formed by a PVD process in a metallic mode and a titanium nitride retarding layer is formed over a portion of the metallic titanium nitride layer by a PVD process in a poison mode. Subsequently, a first aluminum layer, such as an aluminum seed layer, may be selectively deposited on exposed portions of the metallic titanium nitride layer by a CVD process. Thereafter, a second aluminum layer, such as an aluminum bulk layer, may be deposited on exposed portions of the first aluminum layer and the titanium nitride retarding layer during an aluminum PVD process.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: November 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei Ti Lee, Yen-Chih Wang, Mohd Fadzli Anwar Hassan, Ryeun Kwan Kim, Hyung Chul Park, Ted Guo, Alan A. Ritchie
  • Patent number: 7811931
    Abstract: A semiconductor device has a plurality of interconnect layers each including a plurality of interconnect lines. The semiconductor device includes a dielectric film (HDP film) formed by means of high density plasma-enhanced CVD and including an edge formed on the side surface of the topmost-layer interconnect lines, a silicon oxide film formed by modifying a SOG film on the HDP film between adjacent two of the topmost-layer interconnect lines in the element forming region, and a passivation film formed to cover the HDP film and the topmost-layer interconnect lines.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: October 12, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Masateru Ando
  • Patent number: 7807567
    Abstract: The semiconductor device of the present invention includes a first interconnection, a via-plug that is connected to the first interconnection, and a second interconnection that is formed as a single unit with the via-plug. The cross-sectional shape of the via-plug is such that the plug sidewall angle, which indicates the angle of the via-plug sidewall with respect to the surface of the first interconnection, is a positive angle; and moreover, at least two points exist between the base and the top of the via-plug on at least one sidewall of the two sidewalls of the cross-sectional shape of the via-plug at which the plug sidewall angle attains a maximum value. Since shapes that would give rise to the occurrence of concentrations of stress are not formed in the via-plug sidewalls, metal is more effectively embedded in the via-hole, and the incidence of voids is prevented.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: October 5, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Masaya Kawano, Yoshiaki Yamamoto, Takamasa Ito
  • Patent number: 7790515
    Abstract: A semiconductor device includes a semiconductor component which has a semiconductor substrate provided with an integrated circuit on an under side of the semiconductor substrate and a plurality of external connection electrodes provided on the underside of the semiconductor substrate, and a plurality of interconnections each of which includes one end portion connected to each of the external connection electrodes of the semiconductor component and the other end portion extended outside the semiconductor substrate. An under fill medium is provided to cover at least an underside of the semiconductor substrate and at least the side surfaces of the external connection electrodes. A sealing medium is provided to cover an upper side and a side surface of the semiconductor substrate, and the under fill medium. The undersurface of the under fill medium is flush with the undersurfaces of the interconnections.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: September 7, 2010
    Assignee: Casio Computer Co., Ltd.
    Inventor: Hiroyasu Jobetto
  • Patent number: 7790605
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate including a conducting layer, a first insulating film formed on the semiconductor substrate and having a via hole formed therein, a lower barrier film formed on an inside wall of the via hole, a first metal wiring formed on the lower barrier film, a second insulating film formed on the first metal wiring and the first insulating film, the second insulating film being provided with a trench which has a width greater than a width of the via hole, an upper barrier film formed on a lower surface of the trench, a second metal wiring formed on the upper barrier film, and a sidewall barrier film formed on sidewalls of the upper barrier film and the second metal wiring. The sidewall barrier film has an L-shaped mirror-symmetrical structure.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: September 7, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae-Won Han
  • Patent number: 7781331
    Abstract: The present invention relates to a method for producing electrical bushings through non-conductive or semiconductive substrates, which are particularly suitable for electrical applications. The method is characterized in that a semiconductor substrate or a non-conductive substrate (13) whose front side has an electrically conductive contact point (6) at at least one location is provided with a recess (7) from its rear side such that the recess (1) on the front side of the substrate ends under that location or one of the locations at which the electrically conductive contact point or one of the electrically conductive contact points is situated and is completely covered by the latter, to which an electrically conductive structure (9) which establishes a conductive connection between the respective contact point and the rear-side surface (10, 11, 12) of the substrate through the recess or at least one of the recesses is applied from the rear side of the substrate.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: August 24, 2010
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der angewandten Forschung e.V.
    Inventor: Wolfgang Reinert
  • Patent number: 7772113
    Abstract: Metal residue on a semiconductor surface resulting from metal chemical mechanical polishing (“CMP”) process are eradicated using a dry clean process. The dry cleaning uniformly removes or substantially eliminates metal residue from the surface of the semiconductor. An unintended metal short that may be present due to the residue may thereby be eliminated by adjusting the dry cleaning process based on a type of dry cleaning material, and type and a thickness of the residue.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: August 10, 2010
    Assignee: Qimonda AG
    Inventors: Heinrich Ollendorf, Stacey Cabral, Robert Fuller
  • Patent number: 7772101
    Abstract: A phase-change memory device and a fabrication method thereof, capable of reducing driving current while minimizing a size of a contact hole used for forming a PN diode in the phase-change memory device that employs the PN diode. The method of fabricating the phase-change memory device includes the steps of preparing a semiconductor substrate having a junction area formed with a dielectric layer, forming an interlayer dielectric layer having etching selectivity lower than that of the dielectric layer over an entire structure, and forming a contact hole by removing predetermined portions of the interlayer dielectric layer and the dielectric layer. The contact area between the PN diode and the semiconductor substrate is increased so that interfacial resistance is reduced.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: August 10, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Su-Jin Chae, Keum-Bum Lee, Min-Yong Lee
  • Patent number: 7754596
    Abstract: A semiconductor device capable of preventing an electrical short between contacts and their adjacent contact pads and a method of manufacturing the same are provided. A first interlayer insulating layer is formed on the semiconductor substrate including the active region. Contact pads pass through the first interlayer insulating layer and contact with the active region. Contacts are formed on the contact pads and are connected to a conductive layer disposed above the contacts. The contact pads have a height lower than a top surface of the first interlayer insulating layer such that the contact pads have smaller thickness than the first interlayer insulating layer.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: July 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-jun Park
  • Patent number: 7737025
    Abstract: A method for forming an plurality of paths on a substrate includes drilling an opening for a via to a depth to expose a first pad and a second pad, lining the opening with a conductive material, and insulating a first portion of the lining in the opening from a second portion of the lining in the opening to form a first electrical path contacting the first pad and a second electrical path contacting the second pad.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: June 15, 2010
    Assignee: Intel Corporation
    Inventors: Todd B Myers, Nicholas R. Watts, Eric C Palmer, Renee M Defeo, Jui Min Lim
  • Patent number: 7727888
    Abstract: An interconnect structure and a method for forming the same are described. Specifically, under the present invention, a gouge is created within a via formed in the interconnect structure before any trenches are formed. This prevents the above-mentioned trench damage from occurring. That is, the bottom surface of the trenches will have a roughness of less than approximately 20 nm, and preferably less than approximately 10 nm. In addition to the via, gouge and trench(es), the interconnect structure of the present invention includes at least two levels of metal wiring. Further, in a typical embodiment, the interconnect structure utilizes any dielectrics having a dielectric constant no greater than approximately 5.0.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: June 1, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi
  • Patent number: 7687395
    Abstract: A semiconductor structure includes a semiconductor device including a contact region. The semiconductor structure also includes a passivation layer passivating the semiconductor device including the contact region. A narrow bottomed stepped sidewall contact aperture is located within the passivation layer to expose the contact region. A corresponding narrow bottomed stepped sidewall contact via is located within the narrow bottomed stepped sidewall contact aperture to contact the contact region. The narrow bottomed stepped sidewall contact aperture and contact via provide for improved contact to the contact region and reduced parasitic capacitance with respect to the semiconductor device. Methods for fabricating the narrow bottomed stepped sidewall contact aperture use a mask layer (either dimensionally diminished or dimensionally augmented) in conjunction with a two step etch method.
    Type: Grant
    Filed: November 2, 2006
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Wai-Kin Li
  • Patent number: 7670949
    Abstract: A method of manufacturing a semiconductor device includes: forming a first photosensitive material pattern having an opening hole on a work target layer formed on an active surface of a substrate; performing a first etching by performing an etching treatment to the work target layer using the first photosensitive material pattern as a mask, and forming one of a concave and a groove in a tapered shape with a wide opening to the work target layer while enlarging the opening hole, by performing the etching treatment so as to enlarge the opening hole; and filling a metal film into one of the concave and the groove.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: March 2, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Chiharu Iriguchi
  • Patent number: 7670946
    Abstract: A method to form a barrier layer and contact plug using a touch up RIE. In a first embodiment, we form a first barrier layer over the dielectric layer and the substrate in the contact hole. The first barrier layer is comprised of Ta. A second barrier layer is formed over the first barrier layer. The second barrier layer is comprised of TaN or WN. We planarize a first conductive layer to form a first contact plug in the contact hole. We reactive ion etch (e.g., W touch up etch) the top surfaces using a Cl and B containing etch. Because of the composition of the barrier layers and RIE etch chemistry, the barrier layers are not significantly etched selectively to the dielectric layer. In a second embodiment, a barrier film is comprised of WN.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: March 2, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yong Kong Siew, Beichao Zhang
  • Patent number: 7659631
    Abstract: A hybrid-scale electronic circuit, an internal electrical connection and a method of electrically interconnecting employ an interconnect having a tapered shape to electrically connect between different-scale circuits. The interconnect has a first end with an end dimension that is larger than an end dimension of an opposite, second end of the interconnect. The larger first end of the interconnect connects to an electrical contact of a micro-scale circuit and the second end of the interconnect connects to an electrical contact of a nano-scale circuit.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: February 9, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Theodore I. Kamins, Shashank Sharma
  • Patent number: 7655558
    Abstract: Method and system for determining semiconductor characteristics. In a specific embodiment, the present invention provides a method for determining one or more characteristics of a partially processed integrated circuit. The method includes a step for providing a substrate material. The method further includes a step for forming at least one opening within the substrate material. The opening can be characterized by an opening characteristic that includes a depth and an opening width associated with an unknown volume. The method includes a step for providing fill material. Additionally, the method includes a step for processing the fill material to cause a first portion of the fill material to enter the opening and occupy an entirety of the unknown volume associated with the opening characteristic while a second portion of the fill material remains outside of the unknown volume.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: February 2, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Li Xu
  • Patent number: 7651946
    Abstract: A method of wet etching produces high-precision microneedle arrays for use in medical applications. The method achieves precise process control over microneedle fabrication, at single wafer or batch-level, using wet etching of silicon with potassium hydroxide (KOH) solution by accurately identifying the etch time endpoint. Hence, microneedles of an exactly required height, shape, sharpness and surface quality are achieved. The outcome is a reliable, reproducible, robust and relatively inexpensive microneedle fabrication process. Microneedles formed by KOH wet etching have extremely smooth surfaces and exhibit superior mechanical and structural robustness to their dry etched counterparts. These properties afford extra reliability to such silicon microneedles, making them ideal for medical applications. The needles can also be hollowed. Wet etched silicon microneedles can then be employed as masters to replicate the improved surface and structural properties in other materials (such as polymers) by moulding.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: January 26, 2010
    Assignee: University College Cork - National University of Ireland, Cork
    Inventors: Nicolle Wilke, Anthony Morrissey
  • Patent number: 7648910
    Abstract: A method of manufacturing an opening is described. First, a substrate including a conductive portion and a dielectric layer both formed thereon is provided. The conductive portion at least includes a conductive layer and a passivation layer from bottom-up, and the dielectric layer covers the conductive portion. A first dry etching step is then performed to form an opening on the passivation layer by using a reactive gas containing a high polymer gas. The bottom of the opening has an initial dimension, and an obtuse angle is included by the bottom of the opening and an inner sidewall of the opening. Next, an opening enlarging step is performed to reach a target dimension of the bottom of the opening. The target dimension is larger than the initial dimension and to the least extent the conductive layer is not exposed by the opening.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: January 19, 2010
    Assignee: Winbond Electronics Corp.
    Inventors: Ching-Jen Han, Wen-Shun Lo, Yung-Han Chiu
  • Patent number: 7648909
    Abstract: A method for fabricating a semiconductor device includes forming an inter-layer insulation layer on a substrate; forming openings in the inter-layer insulation layer; forming a metal barrier layer in the openings and on the inter-layer insulation layer; forming a first conductive layer on the metal barrier layer and filled in the openings; etching the first conductive layer to form interconnection layers in the openings and to expose portions of the metal barrier layer, the interconnection layers being inside the openings and at a depth from a top of the openings; etching the exposed portions of the metal barrier layer to obtain a sloped profile of the metal barrier layer at top lateral portions of the openings; forming a second conductive layer over the inter-layer insulation layer, the interconnection layers and the metal barrier layer with the sloped profile; and patterning the second conductive layer to form metal lines.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: January 19, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Hae-Jung Lee, Sang-Hoon Cho, Suk-Ki Kim
  • Patent number: 7638425
    Abstract: A metal line of a semiconductor device having a diffusion barrier including CrxBy and a method for forming the same is described. The metal line of a semiconductor device includes an insulation layer formed on a semiconductor substrate. The insulation layer is formed having a metal line forming region. A diffusion barrier including a CrxBy layer is subsequently formed on the surface of the metal line forming region and the insulation layer. A metal line is finally formed to fill the metal line forming region of the insulation layer on the diffusion barrier including a CrxBy layer.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: December 29, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ha Jung, Seung Jin Yeom, Baek Mann Kim, Young Jin Lee, Jeong Tae Kim
  • Patent number: 7635645
    Abstract: Methods for forming an interconnection line and interconnection line structures are disclosed. The method includes forming an interlayer insulating layer on a semiconductor substrate, wherein the interlayer insulating layer is formed of a carbon-doped low-k dielectric layer. An oxidation barrier layer is formed on the interlayer insulating layer. An oxide capping layer is formed on the oxidation barrier layer. A via hole is in the oxide capping layer, the oxidation barrier, and the interlayer insulating layer. A conductive layer pattern is formed within the via hole.
    Type: Grant
    Filed: January 4, 2005
    Date of Patent: December 22, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Woo Lee, Hong-Jae Shin, Jae-Hak Kim, Young-Jin Wee, Seung-Jin Lee, Ki-Kwan Park
  • Patent number: 7635601
    Abstract: The disclosure concerns a manufacturing method of a semiconductor device includes dry-etching a semiconductor substrate or a structure formed on the semiconductor substrate; supplying a solution onto the semiconductor substrate; measuring a specific resistance or a conductivity of the supplied solution; and supplying a removal solution for removing the etching residual material onto the semiconductor substrate for a predetermined period of time based on the specific resistance or the conductivity of the solution, when an etching residual material adhering to the semiconductor substrate or the structure is removed.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: December 22, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Yoshihiro Uozumi, Kunihiro Miyazaki
  • Patent number: 7632753
    Abstract: A method of forming a wafer level package includes attaching a laser-activated dielectric material to an integrated circuit substrate to form an assembly, the integrated circuit substrate including a plurality of electronic components having terminals on first surfaces thereof. The laser-activated dielectric material is laser activated and ablated with a laser to form laser-ablated artifacts in the laser-activated dielectric material and simultaneously to form an electrically conductive laser-activated layer lining the laser-ablated artifacts. The laser-ablated artifacts are filled using an electroless plating process in which an electrically conductive filler material is selectively plated on the laser-activated layer to form an embedded circuit pattern within the laser-activated dielectric material.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: December 15, 2009
    Assignee: Amkor Technology, Inc.
    Inventors: Sukianto Rusli, Bob Shih-Wei Kuo, Ronald Patrick Huemoeller
  • Patent number: 7632689
    Abstract: Methods for controlling the profile of a trench of a semiconductor structure comprise the step of depositing a photoresist within a via and overlying a second dielectric layer. An image layer is deposited overlying the photoresist and is patterned to form a first trench having a first width and a second width that are not equal and a first angle. The photoresist is dry etched using dry etch parameters, at least one of which is selected based on the first angle and the first and the second widths of the first trench to form a second trench in the photoresist. The second dielectric layer is etched to form a third trench.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: December 15, 2009
    Assignee: Spansion LLC
    Inventors: Benjamin C. Hoster, William S. Bass
  • Patent number: 7629250
    Abstract: A method for forming at least one conductive element is disclosed. Particularly, a semiconductor substrate, including a plurality of semiconductor dice thereon, may be provided and a dielectric layer may be formed thereover. At least one depression may be laser ablated in the dielectric layer and an electrically conductive material may be deposited thereinto. Also, a method for assembling a semiconductor die having a plurality of bond pads and a dielectric layer formed thereover to a carrier substrate having a plurality of terminal pads is disclosed. At least one depression may be laser ablated into the dielectric layer and a conductive material may be deposited thereinto for electrical communication between the semiconductor die and the carrier substrate. The semiconductor die may be affixed to the carrier substrate and at least one of the dielectric layer and the conductive material may remain substantially solid during affixation therebetween. The methods may be implemented at the wafer level.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Peter A. Benson, Charles M. Watkins
  • Patent number: 7626202
    Abstract: To improve the reliability of contact with an anisotropic conductive film in a semiconductor device such as a liquid crystal display panel, a terminal portion (182) of a connecting wiring (183) on an active matrix substrate is electrically connected to an FPC (191) by an anisotropic conductive film (195). The connecting wiring (183) is manufactured in the same process with a source/drain wiring of a TFT on the active matrix substrate, and is made of a lamination film of a metallic film and a transparent conductive film. In the connecting portion with the anisotropic conductive film (195), a side surface of the connecting wiring (183) is covered with a protecting film (173) made of an insulating material.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: December 1, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7618887
    Abstract: A method of forming a metal line in a semiconductor device including forming a first insulation layer and a first etch stop layer on a conductive layer, and forming a first photosensitive layer pattern on the first etch stop layer; forming a first opening by etching the first etch stop layer; forming a second insulation layer and a second etch stop layer on the first insulation layer and the first etch stop layer, and forming a second photosensitive layer pattern on the second etch stop layer; forming a second opening by etching the second etch stop layer; simultaneously forming an inter-connection groove and a via hole by etching the first insulation layer and the second insulation layer using the second etch stop layer and the first etch stop layer as a mask; and forming a metal line by filling the inter-connection groove and the via hole with conductive materials.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: November 17, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Se-Yeul Bae
  • Patent number: 7615486
    Abstract: A method and system for depositing films on a substrate for copper interconnect in an integrated system are provided to enable controlled-ambient transitions within an integrated system to limit exposure of the substrate to uncontrolled ambient conditions. The method includes moving the substrate into a processing chamber having a plurality of proximity heads. Within the processing chamber, barrier layer deposition is performed over a surface of the substrate using one of the plurality of proximity heads functioning to perform barrier layer ALD. In addition, the method includes moving the substrate from the processing chamber, through a transfer module of the integrated systems, into a processing module for performing copper seed layer deposition. Within the processing module for performing copper seed layer deposition, copper seed layer deposition is performed over the surface of the substrate.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: November 10, 2009
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, Mikhail Korolik, Fritz C. Redeker, John M. Boyd, Yezdi Dordi
  • Patent number: 7598169
    Abstract: A method to fabricate interconnect structures that are part of integrated circuits and microelectronic devices by utilization of an irradiation to remove and clean a sacrificial material used therein is described. The advantages of utilizing the irradiation to remove the sacrificial material include reduced damage to interlayer dielectric layers that result in enhanced device performance and/or increased reliability.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: October 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Qinghuang Lin, Elbert E. Huang, Christy S. Tyberg, Ronald A. DellaGuardia
  • Patent number: 7598616
    Abstract: A structure. The structure includes: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; an electrically conductive liner in direct physical contact with and covering the bottom surface and the sides of the core electrical conductor, embedded portions of the electrically conductive liner in direct physical contact with and extending over the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of the core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: October 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, Andrew P. Cowley, Timothy J. Dalton, Meeyoung H. Yoon
  • Publication number: 20090239375
    Abstract: Structures and methods of forming metallization layers on a semiconductor component are disclosed. The method includes etching a metal line trench using a metal line mask, and etching a via trench using a via mask after etching the metal line trench. The via trench is etched only in regions common to both the metal line mask and the via mask.
    Type: Application
    Filed: March 19, 2008
    Publication date: September 24, 2009
    Inventors: Philipp Riess, Erdem Kaltalioglu, Hermann Wendt
  • Patent number: 7589014
    Abstract: A method of producing a semiconductor device having a plurality of wiring layers forms a first interlayer-insulating film, forms a plurality of grooves for wiring in the first interlayer-insulating film, fills metallic films in the grooves to form wirings, etches the first interlayer-insulating film with the wirings as a mask and removes the interlayer-insulating film between the wirings to provide grooves to be filled, and fills a second interlayer-insulating film made of a material of low dielectric constant in the grooves to be filled.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: September 15, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiaki Shimooka, Hideki Shibata, Hideshi Miyajima, Kazuhiro Tomioka
  • Patent number: 7575992
    Abstract: A method of forming a micro pattern in a semiconductor device is disclosed. An oxide film mask is divided into a cell oxide film mask and a peri oxide film mask. Therefore, a connection between the cell and the peri region can be facilitated. A portion of a top surface of a first oxide film pattern between a region in which a word line will be formed and a region in which a select source line will be formed is removed. Accordingly, the space can be increased and program disturbance in the region in which the word line will be formed can be prevented. Furthermore, a pattern having a line of 50 nm and a space of 100 nm or a pattern having a line of 100 nm and a space of 50 nm, which exceeds the limitation of the ArF exposure equipment, can be formed using a pattern, which has a line of 100 nm and a space of 200 nm and therefore has a good process margin and a good critical dimension regularity.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: August 18, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Woo Yung Jung, Jong Hoon Kim
  • Publication number: 20090200674
    Abstract: A structure and method of forming a conducting via for connecting two back end of the line (BEOL) metal wiring levels is described. The method includes forming a first interconnect structure having a first dimensional width in a first dielectric layer; depositing a second dielectric layer over said first dielectric layer; etching an interconnect trench in the said second dielectric layer; etching a interconnect via using a photo resist mask to form a first portion of the transitional via; reacting the photo resist to expand the photo resist at least in the lateral direction; etching the said dielectric layer using the reacted photo resist to form the second portion of the transitional via; and filling the said interconnect trench and the said interconnect via with metal.
    Type: Application
    Filed: February 7, 2008
    Publication date: August 13, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Haining Yang, Wai-Kin Li
  • Patent number: 7572732
    Abstract: Several techniques are described for modulating the etch rate of a sacrificial light absorbing material (SLAM) by altering its composition so that it matches the etch rate of a surrounding dielectric. This particularly useful in a dual damascene process where the SLAM fills a via opening and is etched along with a surrounding dielectric material to form trenches overlying the via opening.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: August 11, 2009
    Assignee: Intel Corporation
    Inventors: Michael D. Goodner, Robert P. Meagley, Kevin P. O'Brien
  • Patent number: 7569481
    Abstract: Disclosed is a method for forming a via-hole for interconnection of metallization and/or metal wires in a semiconductor device. The present method may include the steps of: (a) forming an insulating layer on a semiconductor substrate including a lower metallization and/or metal wiring; (b) forming a mask (e.g., a photo-resist pattern) on the insulating layer; (c) dry etching the insulating layer using the photo-resist pattern as a mask to form a via-hole in the insulating layer; and (d) in the same dry etching chamber, etching a top portion of the insulating layer in the vicinity of the via-hole with an etchant comprising oxygen and argon.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: August 4, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Woo Nam
  • Publication number: 20090189289
    Abstract: A substrate via structure for stacked vias includes: a plurality of stacked vias, wherein each via is disposed on a landing; and at least one constrainer disc surrounding at least one via, for constraining in-plane deformation of the substrate via structure. The constrainer disc is embedded such that the constrainer disc is disposed between two layers of resin. The constrainer discs may be made of copper. The constrainer disc may be circular or square-shaped. Preferably there is a dielectric gap between the constrainer disc and the via.
    Type: Application
    Filed: January 27, 2008
    Publication date: July 30, 2009
    Applicant: International Business Machines Corporation
    Inventors: Karan Kacker, Douglas O. Powell, David L. Questad, David J. Russell, Sri M. Sri-Jayantha
  • Patent number: 7541282
    Abstract: A metal layer can be formed in an integrated circuit by forming a metal-nitride layer in a recess including a first concentration of nitrogen in the metal-nitride layer at a bottom of the recess that is less than a second concentration of nitrogen in the metal-nitride layer proximate an opening of the recess. A metal layer can be formed on the metal-nitride layer including in the recess.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: June 2, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-ho Han, Rak-hwan Kim, Kyung-in Choi, Sang-woo Lee, Gil-heyun Choi
  • Patent number: 7538027
    Abstract: There is provided a fabrication method for interconnections, capable of embedding a Cu-alloy in recesses in an insulating film, and forming a barrier layer on an interface between the an insulating film and Cu-interconnections, without causing a rise in electric resistivity of the interconnections when fabricating semiconductor interconnections of the Cu-alloy embedded in the recesses provided in the insulating film on a semiconductor substrate. The fabrication method for the interconnections may comprise the steps of forming the respective recesses having a minimum width not more than 0.15 ?m, and a ratio of a depth thereof to the minimum width (a depth/minimum width ratio) not less than 1, forming a Cu-alloy film containing Ti in a range of 0.5 to 3 at %, and N in a range of 0.4 to 2.0 at % over the respective recesses, and subsequently, annealing the Cu-alloy film to not lower than 200° C.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: May 26, 2009
    Assignee: Kobe Steel, Ltd.
    Inventors: Takashi Onishi, Masao Mizuno, Mikako Takeda, Susumu Tsukimoto, Tatsuya Kabe, Toshifumi Morita, Miki Moriyama, Kazuhiro Ito, Masanori Murakami
  • Patent number: 7528493
    Abstract: A damascene wire and method of forming the wire. The method including: forming a mask layer on a top surface of a dielectric layer; forming an opening in the mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the mask layer; recessing the sidewalls of the trench under the mask layer; forming a conformal conductive liner on all exposed surface of the trench and the mask layer; filling the trench with a core electrical conductor; removing portions of the conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming a conductive cap on a top surface of the core conductor. The structure includes a core conductor clad in a conductive liner and a conductive capping layer in contact with the top surface of the core conductor that is not covered by the conductive liner.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, Andrew P. Cowley, Timothy J. Dalton, Meeyoung H. Yoon
  • Patent number: 7524760
    Abstract: A semiconductor device and a method for manufacturing the same is provided. The semiconductor device includes a semiconductor substrate having a conductive layer; an interlayer dielectric layer formed on the semiconductor substrate, the interlayer dielectric layer having a hole with a taper angled at the hole's upper portion; a diffusion barrier layer formed on the hole and the interlayer dielectric layer; and a seed layer formed on the diffusion barrier layer.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: April 28, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Cheol Baek
  • Patent number: 7514298
    Abstract: A printed wiring board for mounting a semiconductor, which printed wiring board has a taper-shaped through hole connecting an upper surface circuit and a lower surface circuit, and/or an internal layer circuit, the taper-shaped through hole being obtained by plating an inner wall surface and a small-diameter side end of a taper-shaped penetration hole with a metal to plate the inner wall surface and seal the small-diameter side end, wherein a ball pad or a bump pad is formed at least a small-diameter side end of the taper-shaped through hole.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: April 7, 2009
    Assignee: Japan Circuit Industrial Co., Ltd.
    Inventors: Akinori Tanaka, Toru Yamada, Tadashi Ando
  • Patent number: 7514362
    Abstract: A method which makes it possible to define in a patterning layer openings having a first dimension that is substantially less than the feature size that can be obtained lithographically includes applying a sacrificial layer made of a material that is different from that of the patterning layer in a predetermined layer thickness on the patterning layer. Afterward, a photoresist layer is applied on the surface of the sacrificial layer, and an opening having a second dimension is defined lithographically in the photoresist layer. Afterward, an etching angle is set in a manner dependent on the layer thickness of the sacrificial layer and also the first and second dimensions, and the sacrificial layer is etched at the etching angle set. Afterward, the patterning layer is etched, the sacrificial layer is removed and a filling material is introduced into the opening produced in the patterning layer.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: April 7, 2009
    Assignee: Infineon Technologies AG
    Inventors: Cay-Uwe Pinnow, Thomas Happ, Michael Kund, Gerhard Mueller
  • Patent number: 7507658
    Abstract: A via hole is formed by a first step of forming an opening in a resin insulating film by laser radiation, a second step of forming an opening in said resin insulating film by dry etching and a third step of performing reverse sputtering in a plasma environment.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: March 24, 2009
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Ryosuke Usui, Yasunori Inoue, Hideki Mizuhara
  • Patent number: 7504333
    Abstract: A method of forming a conductive structure (e.g., bit line) of a semiconductor device includes forming a barrier metal layer on a semiconductor substrate in which structures are formed. An amorphous titanium carbon nitride layer is formed on the barrier metal layer. A tungsten seed layer is formed on the amorphous titanium carbon nitride layer under an atmosphere including a boron gas. A tungsten layer is formed on the tungsten seed layer, thus forming a bit line.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: March 17, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Mo Jeong, Whee Won Cho, Eun Soo Kim, Seung Hee Hong
  • Patent number: 7494916
    Abstract: Design structure embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes an interconnect structure with a liner formed on roughened dielectric material in an insulating layer and a conformal liner repair layer bridging that breaches in the liner. The conformal liner repair layer is formed of a conductive material, such as a cobalt-containing material. The conformal liner repair layer may be particularly useful for repairing discontinuities in a conductive liner disposed on roughened dielectric material bordering the trenches and vias of damascene interconnect structures.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: February 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Patent number: 7482694
    Abstract: A technique is provided for protecting an interlayer insulating film formed of an organic low dielectric constant material from any damage applied in a semiconductor process, and for attaining the decrease leak current in the interlayer insulating film, resulting in the improvement of reliability of a semiconductor device. The semiconductor device according to the present invention has an organic insulating films having openings. The organic insulating films have modified portions facing the openings. The modified portions contains fluorine atoms and nitrogen atoms. The concentration of the fluorine atoms in the modified portions is lower than the concentration of the nitrogen atoms. The above-mentioned modified layers protect the semiconductor device from the damage applied in the semiconductor process, while suppressing the corrosion of the conductors embedded in the openings.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 27, 2009
    Assignee: NEC Coporation
    Inventors: Hiroto Ohtake, Munehiro Tada, Yoshimichi Harada, Ken′ichiro Hijioka, Shinobu Saitoh, Yoshihiro Hayashi
  • Patent number: RE40965
    Abstract: There is formed on a semiconductor substrate a lamination of a first insulating film of nondoped silicon glass or the like and, on this first insulating film, a second insulating film of boron phosphor silicate glass or the like, with a conductor layer between the two insulating films. A hole is first dry-etched in the second insulating film, leaving the substrate surface covered by the first insulating film. Then the second insulating film is heated to a reflow temperature such that the hole is thermally deformed, flaring as it extends away from the insulating film. Then a second hole is dry-etched in the first insulating film through the first recited hole in the second insulating film, with the consequent exposure of the semiconductor surface. Then a contract electrode is fabricated by filling the first and the second hole with an electroconductive material into direct contact with the substrate surface.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: November 10, 2009
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Shuichi Kaneko, Hironori Aoki, Akio Iwabuchi