Silicide Patents (Class 438/655)
  • Patent number: 11923416
    Abstract: A semiconductor device includes: a substrate; a first source/drain region and a second source/drain region spaced apart from each other by a trench in the substrate; and a gate structure in the trench, wherein the gate structure includes: a gate dielectric layer formed on a bottom and sidewalls of the trench; a first gate electrode positioned in a bottom portion of the trench over the gate dielectric layer; a second gate electrode positioned over the first gate electrode; and a dipole inducing layer formed between the first gate electrode and the second gate electrode and between sidewalls of the second gate electrode and the gate dielectric layer.
    Type: Grant
    Filed: June 1, 2022
    Date of Patent: March 5, 2024
    Assignee: SK hynix Inc.
    Inventor: Seong-Wan Ryu
  • Patent number: 11538913
    Abstract: A semiconductor device is disclosed. The semiconductor device may include a substrate including a first active pattern, the first active pattern vertically protruding from a top surface of the substrate, a first source/drain pattern filling a first recess, which is formed in an upper portion of the first active pattern, a first metal silicide layer on the first source/drain pattern, the first metal silicide layer including a first portion and a second portion, which are located on a first surface of the first source/drain pattern, and a first contact in contact with the second portion of the first metal silicide layer. A thickness of the first portion may be different from a thickness of the second portion.
    Type: Grant
    Filed: February 15, 2021
    Date of Patent: December 27, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Inchan Hwang, Heonjong Shin, Sunghun Jung, Doohyun Lee, Hwichan Jun, Hakyoon Ahn
  • Patent number: 11444028
    Abstract: A semiconductor device and methods of formation are provided. A semiconductor device includes an annealed cobalt plug over a silicide in a first opening of the semiconductor device, wherein the annealed cobalt plug has a repaired lattice structure. The annealed cobalt plug is formed by annealing a cobalt plug at a first temperature for a first duration, while exposing the cobalt plug to a first gas. The repaired lattice structure of the annealed cobalt plug is more regular or homogenized as compared to a cobalt plug that is not so annealed, such that the annealed cobalt plug has a relatively increased conductivity or reduced resistivity.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: September 13, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY Ltd.
    Inventors: Hong-Mao Lee, Huicheng Chang, Chia-Han Lai, Chi-Hsuan Ni, Cheng-Tung Lin, Huang-Yi Huang, Chi-Yuan Chen, Li-Ting Wang, Teng-Chun Tsai, Wei-Jung Lin
  • Patent number: 10991586
    Abstract: In-situ methods for depositing a metal film without the use of a barrier layer are disclosed. Some embodiments comprise forming an amorphous nucleation layer comprising one or more of silicon or boron and forming a metal layer on the nucleation layer. These processes are performed without an air break between processes.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: April 27, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yong Wu, Wei V. Tang, Jianqiu Guo, Wenyi Liu, Yixiong Yang, Jacqueline S. Wrench, Mandyam Sriram, Srinivas Gandikota, Yumin He
  • Patent number: 10937685
    Abstract: The present disclosure generally relates to semiconductor devices and processing. The present disclosure also relates to isolation structures formed in active regions, more particularly, diffusion break structures in an active semiconductor layer of a semiconductor device. The present disclosure also relates to methods of forming such structures and replacement metal gate processes.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: March 2, 2021
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sipeng Gu, Haiting Wang, Jiehui Shu
  • Patent number: 10854461
    Abstract: Methods for depositing a metal film without the use of a barrier layer are disclosed. Some embodiments comprise forming an amorphous nucleation layer comprising one or more of silicon or boron and forming a metal layer on the nucleation layer.
    Type: Grant
    Filed: September 30, 2019
    Date of Patent: December 1, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Yihong Chen, Yong Wu, Chia Cheng Chin, Srinivas Gandikota, Kelvin Chan
  • Patent number: 10607996
    Abstract: A construction of integrated circuitry comprises a horizontal longitudinally-elongated conductive line. A horizontal longitudinally-elongated void space extends longitudinally along opposing longitudinal sides of the conductive line. The void space along each of the opposing longitudinal sides has cyclically varying height longitudinally along the conductive line. Methods independent of the above structure are disclosed.
    Type: Grant
    Filed: December 26, 2018
    Date of Patent: March 31, 2020
    Assignee: Micron Technology, Inc.
    Inventor: Takashi Sasaki
  • Patent number: 10497811
    Abstract: A method includes forming a first semiconductor fin protruding from a substrate and forming a gate stack over the first semiconductor fin. Forming the gate stack includes depositing a gate dielectric layer over the first semiconductor fin, depositing a first seed layer over the gate dielectric layer, depositing a second seed layer over the first seed layer, wherein the second seed layer has a different structure than the first seed layer, and depositing a conductive layer over the second seed layer, wherein the first seed layer, the second seed layer, and the conductive layer include the same conductive material. The method also includes forming source and drain regions adjacent the gate stack.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: December 3, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Sheng Wang, Chi-Cheng Hung, Chia-Ching Lee, Chung-Chiang Wu, Ching-Hwanq Su
  • Patent number: 10490446
    Abstract: A semiconductor device may include: a substrate having first and second surfaces; an interlayer dielectric layer having a first opening to expose the first surface; a first plug positioned in the first opening and isolated from a sidewall of the first opening by a pair of gaps; a bit line extended in any one direction while covering the first plug; a second plug including a lower part adjacent to the first plug and an upper part adjacent to the bit line, and connected to the second surface; a first air gap positioned between the first plug and the lower part of the second plug; and a second air gap positioned between the bit line and the upper part of the second plug, and having a larger width than the first air gap.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: November 26, 2019
    Assignee: SK hynix Inc.
    Inventors: Hae-Jung Park, Jung-Taik Cheong, Tae-Woo Jung, Yun-Je Choi
  • Patent number: 10483208
    Abstract: A semiconductor device includes a semiconductor substrate comprising a contact region, a silicide present on the contact region, a dielectric layer present on the semiconductor substrate, the dielectric layer comprising an opening to expose a portion of the contact region, a conductor present in the opening, a barrier layer present between the conductor and the dielectric layer, and a metal layer present between the barrier layer and the dielectric layer, wherein a Si concentration of the silicide is varied along a height of the silicide.
    Type: Grant
    Filed: July 31, 2017
    Date of Patent: November 19, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Hung Lin, Chi-Wen Liu, Horng-Huei Tseng
  • Patent number: 10358715
    Abstract: Embodiments described herein relate to apparatus and methods for processing a substrate. In one embodiment, a cluster tool apparatus is provided having a transfer chamber and a pre-clean chamber, a self-assembled monolayer (SAM) deposition chamber, an atomic layer deposition (ALD) chamber, and a post-processing chamber disposed about the transfer chamber. A substrate may be processed by the cluster tool and transferred between the pre-clean chamber, the SAM deposition chamber, the ALD chamber, and the post-processing chamber. Transfer of the substrate between each of the chambers may be facilitated by the transfer chamber which houses a transfer robot.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: July 23, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tobin Kaufman-Osborn, Srinivas D. Nemani, Ludovic Godet, Qiwei Liang, Adib Khan
  • Patent number: 9673078
    Abstract: A cooling processing apparatus includes: a processing vessel; an electrostatic chuck installed in the processing vessel, the electrostatic chuck having a mounting surface on which an object to be processed is mounted; a cooling mechanism configured to cool the electrostatic chuck; and a lamp heating device configured to remove moisture attached to the mounting surface. Further, a method for operating the cooling processing apparatus includes: decompressing the space in the processing vessel by using the exhaust device; removing the moisture attached to the mounting surface of the electrostatic chuck by using the lamp heating device; and cooling the electrostatic chuck by using the cooling mechanism after the removal of the moisture performed by the lamp heating device is terminated.
    Type: Grant
    Filed: May 26, 2015
    Date of Patent: June 6, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tetsuya Miyashita, Masamichi Hara, Naoyuki Suzuki, Kaoru Yamamoto, Kouji Maeda
  • Patent number: 9589897
    Abstract: The present disclosure involves a method of fabricating a semiconductor device in a semiconductor technology node that is 5-nanometer or smaller. An opening is formed that extends through a plurality of layers over a substrate. A barrier layer is formed on surfaces of the opening. A liner layer is formed over the barrier layer in the opening. The barrier layer and the liner layer have different material compositions. The opening is filled with a non-copper metal material. The non-copper material is formed over the liner layer. In some embodiments, the non-copper metal material includes cobalt.
    Type: Grant
    Filed: August 18, 2015
    Date of Patent: March 7, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Chang Wu, Li-Lin Su
  • Patent number: 9466572
    Abstract: An integrated circuit with non-volatile memory cells shielded from ultraviolet light by a shielding structure compatible with chemical-mechanical processing. The disclosed shielding structure includes a roof structure with sides; along each side are spaced-apart contact posts, each with a width on the order of the wavelength of ultraviolet light to be shielded, and spaced apart by a distance that is also on the order of the wavelength of ultraviolet light to be shielded. The contact posts may be provided in multiple rows, and extending to a diffused region or to a polysilicon ring or both. The multiple rows may be aligned with one another or staggered relative to one another.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: October 11, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Allan T. Mitchell, Keith Jarreau
  • Patent number: 9379020
    Abstract: A method of selective formation of silicide on a semiconductor wafer, wherein the metal layer is deposited over the entire wafer prior to application of the SiProt mask such that any etching of the mask does not cause any surface deterioration of the silicon wafer.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: June 28, 2016
    Assignee: NXP B.V.
    Inventors: Eric Gerritsen, Veronique De-Jonghe, Srdjan Kordic
  • Patent number: 9165771
    Abstract: A method and apparatus for doping a surface of a substrate with a dopant, with the dopant being for example phosphine or arsine. The doping is performed with a plasma formed primarily of an inert gas such as helium or argon, with a low concentration of the dopant. To provide conformal doping, preferably to form a monolayer of the dopant, the gas flow introduction location is switched during the doping process, with the gas mixture primarily introduced through a center top port in the process chamber during a first period of time followed by introduction of the gas mixture primarily through peripheral or edge injection ports for a second period of time, with the switching continuing in an alternating fashion as the plasma process.
    Type: Grant
    Filed: April 3, 2014
    Date of Patent: October 20, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Peter Ventzek, Takenao Nemoto, Hirokazu Ueda, Yuuki Kobayashi, Masahiro Horigome
  • Patent number: 9129897
    Abstract: In one aspect, methods of silicidation and germanidation are provided. In some embodiments, methods for forming metal silicide can include forming a non-oxide interface, such as germanium or solid antimony, over exposed silicon regions of a substrate. Metal oxide is formed over the interface layer. Annealing and reducing causes metal from the metal oxide to react with the underlying silicon and form metal silicide. Additionally, metal germanide can be formed by reduction of metal oxide over germanium, whether or not any underlying silicon is also silicided. In other embodiments, nickel is deposited directly and an interface layer is not used. In another aspect, methods of depositing nickel thin films by vapor phase deposition processes are provided. In some embodiments, nickel thin films are deposited by ALD.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: September 8, 2015
    Assignee: ASM INTERNATIONAL N.V.
    Inventors: Viljami J. Pore, Suvi P. Haukka, Tom E. Blomberg, Eva E. Tois
  • Patent number: 9114998
    Abstract: Methods for forming a nanoperforated graphene material are provided. The methods comprise forming an etch mask defining a periodic array of holes over a graphene material and patterning the periodic array of holes into the graphene material. The etch mask comprises a pattern-defining block copolymer layer, and can optionally also comprise a wetting layer and a neutral layer. The nanoperforated graphene material can consist of a single sheet of graphene or a plurality of graphene sheets.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: August 25, 2015
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Michael S. Arnold, Padma Gopalan, Nathaniel S. Safron, Myungwoong Kim
  • Patent number: 9093379
    Abstract: A silicidation blocking process is provided. In one aspect, a silicidation method is provided. The method includes the following steps. A wafer is provided having a semiconductor layer over an oxide layer. An organic planarizing layer (OPL)-blocking structure is formed on one or more regions of the semiconductor layer which will block the one or more regions of the semiconductor layer from silicidation. At least one silicide metal is deposited on the wafer. The wafer is annealed to react the at least one silicide metal with one or more exposed regions of the semiconductor layer. Unreacted silicide metal is removed. Any remaining portions of the OPL-blocking structure are removed.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: July 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Michael A. Guillorn, Isaac Lauer, Jeffrey W. Sleight
  • Patent number: 9023728
    Abstract: According to one embodiment, a method of manufacturing a metal silicide layer, the method includes forming a metal layer including impurities on a silicon layer by a vapor deposition method using a gas of a metal and a gas of the impurities, and forming a metal silicide layer including the impurities by chemically reacting the metal layer with the silicon layer. A thickness and a composition of the metal silicide layer are controlled by an amount of the impurities in the metal layer.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: May 5, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Makoto Honda
  • Patent number: 9006099
    Abstract: A semiconductor device has a substrate with a source region and a drain region formed on the substrate. A silicide layer is disposed over the source region and drain region. A first interconnect layer is formed over the silicide layer and includes a first runner connected to the source region and second runner connected to the drain region. A second interconnect layer is formed over the first interconnect layer and includes a third runner connected to the first runner and a fourth runner connected to the second runner. An under bump metallization (UBM) is formed over and electrically connected to the second interconnect layer. A mask is disposed over the substrate with an opening in the mask aligned over the UBM. A conductive bump material is deposited within the opening in the mask. The mask is removed and the conductive bump material is reflowed to form a bump.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: April 14, 2015
    Assignee: Great Wall Semiconductor Corporation
    Inventors: Samuel J. Anderson, David N. Okada
  • Patent number: 8999837
    Abstract: A method of fabricating a semiconductor device may include forming isolation structures that include openings, over a substrate; forming sacrificial spacers on sidewalls of the openings; forming, on the sacrificial spacers, first conductive patterns that are recessed in the openings; removing the sacrificial spacers, and defining air gaps; forming a liner layer that caps the first conductive patterns and the air gaps; forming second conductive patterns through silicidation of the liner layer; and forming third conductive patterns over the second conductive patterns.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: April 7, 2015
    Assignee: SK Hynix Inc.
    Inventors: Jong-Kook Park, Han-Sang Song, Jin-Yul Lee, Chang-Ki Lee
  • Patent number: 8987135
    Abstract: A method of forming a metal semiconductor alloy that includes forming an intermixed metal semiconductor region to a first depth of a semiconductor substrate without thermal diffusion. The intermixed metal semiconductor region is annealed to form a textured metal semiconductor alloy. A second metal layer is formed on the textured metal semiconductor alloy. The second metal layer on the textured metal semiconductor alloy is then annealed to form a metal semiconductor alloy contact, in which metal elements from the second metal layer are diffused through the textured metal semiconductor alloy to provide a templated metal semiconductor alloy. The templated metal semiconductor alloy includes a grain size that is greater than 2× for the metal semiconductor alloy, which has a thickness ranging from 15 nm to 50 nm.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: March 24, 2015
    Assignees: International Business Machines Corporation, GLOBALFOUNDRIES, Inc.
    Inventors: Christian Lavoie, Ahmet S. Ozcan, Zhen Zhang, Bin Yang
  • Patent number: 8981565
    Abstract: In one aspect, a method of fabricating a metal silicide includes the following steps. A semiconductor material selected from the group consisting of silicon and silicon germanium is provided. A metal(s) is deposited on the semiconductor material. A first anneal is performed at a temperature and for a duration sufficient to react the metal(s) with the semiconductor material to form an amorphous layer including an alloy formed from the metal(s) and the semiconductor material, wherein the temperature at which the first anneal is performed is below a temperature at which a crystalline phase of the alloy is formed. An etch is used to selectively remove unreacted portions of the metal(s). A second anneal is performed at a temperature and for a duration sufficient to crystallize the alloy thus forming the metal silicide. A device contact and a method of fabricating a FET device are also provided.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: March 17, 2015
    Assignee: International Business Machines Corporation
    Inventors: Christian Lavoie, Dong-Ick Lee, Ahmet Serkan Ozcan, Zhen Zhang
  • Patent number: 8981435
    Abstract: The present description relates to the field of fabricating microelectronic devices having non-planar transistors. Embodiments of the present description relate to the formation of source/drain contacts within non-planar transistors, wherein a titanium-containing contact interface may be used in the formation of the source/drain contact with a discreet titanium silicide formed between the titanium-containing interface and a silicon-containing source/drain structure.
    Type: Grant
    Filed: October 1, 2011
    Date of Patent: March 17, 2015
    Assignee: Intel Corporation
    Inventors: Sameer S. Pradhan, Subhash M. Joshi, Jin-Sung Chun
  • Patent number: 8975181
    Abstract: A semiconductor device and manufacture method thereof include a silicide material formed on a source region and a drain region on opposite sides of a gate, wherein the gate having sidewalls on both side surfaces is formed on a substrate. The gate has a first sidewall spacer and a second sidewall spacer on each sidewall, the first spacer has a horizontal portion and a vertical portion, the horizontal portion is located between the second sidewall spacer and the substrate, the vertical portion is located between the second sidewall spacer and the sidewalls. A protecting layer is selectively deposited on the silicide material.
    Type: Grant
    Filed: February 16, 2012
    Date of Patent: March 10, 2015
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Fenglian Li
  • Publication number: 20150064901
    Abstract: According to one embodiment, a method for producing a semiconductor device includes forming a base film above a semiconductor substrate, forming a core above the base film, forming a side wall film on a side face of the core, and replacing at least part of the side wall film with a metal film by performing plating processing.
    Type: Application
    Filed: February 11, 2014
    Publication date: March 5, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Satoshi WAKATSUKI, Atsuko SAKATA
  • Patent number: 8969189
    Abstract: After formation of a replacement gate structure, a template dielectric layer employed to pattern the replacement gate structure is removed. After deposition of a dielectric liner, a first dielectric material layer is deposited by an anisotropic deposition and an isotropic etchback. A second dielectric material layer is deposited and planarized employing the first dielectric material portion as a stopping structure. The first dielectric material portion is removed selective to the second dielectric material layer, and is replaced with gate cap dielectric material portion including at least one dielectric material different from the materials of the dielectric material layers. A contact via hole extending to a source/drain region is formed employing the gate cap dielectric material portion as an etch stop structure. A contact via structure is spaced from the replacement gate structure at least by remaining portions of the gate cap dielectric material portion.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: March 3, 2015
    Assignee: International Business Machines Corporation
    Inventors: Hong He, Chiahsun Tseng, Chun-chen Yeh, Yunpeng Yin
  • Publication number: 20150056801
    Abstract: A method of fabricating a semiconductor device may include forming isolation structures that include openings, over a substrate; forming sacrificial spacers on sidewalks of the openings; forming, on the sacrificial spacers, first conductive patterns that are recessed in the openings; removing the sacrificial spacers, and defining air gaps; forming a liner layer that caps the first conductive patterns and the air gaps; forming second conductive patterns through silicidation of the liner layer; and forming third conductive patterns over the second conductive patterns.
    Type: Application
    Filed: December 20, 2013
    Publication date: February 26, 2015
    Applicant: SK hynix Inc.
    Inventors: Jong-Kook PARK, Han-Sang SONG, Jin-Yul LEE, Chang-Ki LEE
  • Patent number: 8956971
    Abstract: Metallic layers can be selectively deposited on surfaces of a substrate relative to a second surface of the substrate. In preferred embodiments, the metallic layers are selectively deposited on copper instead of insulating or dielectric materials. In preferred embodiments, a first precursor forms a layer or adsorbed species on the first surface and is subsequently reacted or converted to form a metallic layer. Preferably the deposition temperature is selected such that a selectivity of above about 90% is achieved.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: February 17, 2015
    Assignee: ASM International N.V.
    Inventors: Suvi P. Haukka, Antti Niskanen, Marko Tuominen
  • Patent number: 8937012
    Abstract: Provided is a production method for a semiconductor device comprising a metal silicide layer. According to one embodiment of the present invention, the production method for a semiconductor device comprises the steps of: forming an insulating layer on a substrate, on which a polysilicon pattern has been formed, in such a way that the polysilicon pattern is exposed; forming a silicon seed layer on the exposed polysilicon pattern that has been selectively exposed with respect to the insulating layer; forming a metal layer on the substrate on which the silicon seed layer has been formed; and forming a metal silicide layer by carrying out a heat treatment on the substrate on which the metal layer has been formed.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: January 20, 2015
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Hai Won Kim, Sang Ho Woo, Sung Kil Cho, Gil Sun Jang
  • Publication number: 20150017800
    Abstract: A method of manufacturing a semiconductor device with a cap layer for a copper interconnect structure formed in a dielectric layer is provided. In an embodiment, a conductive material is embedded within a dielectric layer, the conductive material comprising a first material and having either a recess, a convex surface, or is planar. The conductive material is silicided to form an alloy layer. The alloy layer comprises the first material and a second material of germanium, arsenic, tungsten, or gallium.
    Type: Application
    Filed: July 21, 2014
    Publication date: January 15, 2015
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 8927423
    Abstract: Methods for annealing a contact metal layer for a metal silicidation process are provided in the present invention. In one embodiment, a method for annealing a contact metal layer for a silicidation process in a semiconductor device includes providing a substrate having a contact metal layer disposed thereon in a thermal annealing processing chamber, providing a heat energy to the contact metal layer in the thermal processing chamber, supplying a gas mixture including a nitrogen gas and a hydrogen gas while providing the heat energy to the contact layer in the thermal processing chamber, wherein the nitrogen gas and the hydrogen gas is supplied at a ratio between about 1:10 and about 1:1, and forming a metal silicide layer on the substrate.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: January 6, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Wei Tang, Kavita Shah, Srinivas Gandikota, San H. Yu, Avgerinos Gelatos
  • Patent number: 8927422
    Abstract: A method for forming a raised silicide contact including depositing a layer of silicon at a bottom of a contract trench using a gas cluster implant technique which accelerates clusters of silicon atoms causing them to penetrate a surface oxide on a top surface of the silicide, a width of the silicide and the contact trench are substantially equal; heating the silicide including the silicon layer to a temperature from about 300° C. to about 950° C. in an inert atmosphere causing silicon from the layer of silicon to react with the remaining silicide partially formed in the silicon containing substrate; and forming a raised silicide from the layer of silicon, wherein the thickness of the raised silicide is greater than the thickness of the silicide and the raised silicide protrudes above a top surface of the silicon containing substrate.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Emre Alptekin, Nathaniel Berliner, Christian Lavoie, Kam-Leung Lee, Ahmet Serkan Ozcan
  • Patent number: 8912059
    Abstract: Various embodiments disclosed include semiconductor structures and methods of forming such structures. In one embodiment, a method includes: providing a semiconductor structure including: a substrate; at least one gate structure overlying the substrate; and an interlayer dielectric overlying the substrate and the at least one gate structure; removing the ILD overlying the substrate to expose the substrate; forming a silicide layer over the substrate; forming a conductor over the silicide layer and the at least one gate structure; forming an opening in the conductor to expose a portion of a gate region of the at least one gate structure; and forming a dielectric in the opening in the conductor.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: December 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, David V. Horak, Edward J. Nowak
  • Publication number: 20140361375
    Abstract: A semiconductor device with an n-type transistor and a p-type transistor having an active region is provided. The active region further includes two adjacent gate structures. A portion of a dielectric layer between the two adjacent gate structures is selectively removed to form a contact opening having a bottom and sidewalls over the active region. A bilayer liner is selectively provided within the contact opening in the n-type transistor and a monolayer liner is provided within the contact opening in the p-type transistor. The contact opening in the n-type transistor and p-type transistor is filled with contact material. The monolayer liner is treated to form a silicide lacking nickel in the p-type transistor.
    Type: Application
    Filed: June 5, 2013
    Publication date: December 11, 2014
    Inventor: Derya DENIZ
  • Patent number: 8907393
    Abstract: A semiconductor device including buried bit lines formed of a metal silicide and silicidation preventing regions formed in a substrate under trenches that separate the buried bit lines.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: December 9, 2014
    Assignee: SK Hynix Inc.
    Inventor: Ju-Hyun Myung
  • Publication number: 20140346531
    Abstract: In a method which heats a layer including nickel and titanium on a SiC substrate (1) to form a nickel silicide layer (4) including titanium carbide, the layer including nickel and titanium is formed by vapor deposition or sputtering. The nickel silicide layer (4) is heated at a temperature that is equal to or higher than 1100° C. and equal to or less than 1350° C. to generate the layer including nickel and titanium. At that time, the rate of temperature increase is equal to greater than 10° C./minute and equal to or less than 1350° C./minute and a heating duration is equal to or more than 0 minute and equal to or less than 120 minutes. These heating conditions make it possible to obtain a homogeneous rear surface electrode (8) for a SiC semiconductor device which has sufficiently low rear surface contact resistance.
    Type: Application
    Filed: August 11, 2014
    Publication date: November 27, 2014
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Fumikazu IMAI
  • Patent number: 8896098
    Abstract: To provide a power storage device with improved cycle characteristics and a method for manufacturing the power storage device, a power storage device is provided with a conductive layer in contact with a surface of an active material layer including a silicon layer after an oxide film, such as a natural oxide film, which is formed on the surface of the active material layer is removed. The conductive layer is thus provided in contact with the surface of the active material layer including a silicon layer, whereby the conductivity of the electrode surface of the power storage device is improved; therefore, cycle characteristics of the power storage device can be improved.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: November 25, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20140339702
    Abstract: Structures and methods of forming the same are disclosed herein. In one embodiment, a structure can comprise a region having first and second oppositely facing surfaces. A barrier region can overlie the region. An alloy region can overlie the barrier region. The alloy region can include a first metal and one or more elements selected from the group consisting of silicon (Si), germanium (Ge), indium (Id), boron (B), arsenic (As), antimony (Sb), tellurium (Te), or cadmium (Cd).
    Type: Application
    Filed: May 20, 2013
    Publication date: November 20, 2014
    Applicant: INVENSAS CORPORATION
    Inventors: Charles G. Woychik, Cyprian Emeka Uzoh, Michael Newman, Pezhman Monadgemi, Terrence Caskey
  • Patent number: 8889554
    Abstract: The present invention provides a method for manufacturing a semiconductor structure, comprising: forming a first contact layer on an exposed active region of a first spacer; forming a second spacer at a region of the first contact layer close to a gate stack to partially cover the exposed active region; forming a second contact layer in the uncovered exposed active region, wherein when a diffusion coefficient of the first contact layer is the same as that of the second contact layer, the first contact layer has a thickness less than that of the second contact layer; and when the diffusion coefficient of the first contact layer is different from that of the second contact layer, the diffusion coefficient of the first contact layer is smaller than that of the second contact layer. Correspondingly, the present invention also provides a semiconductor structure.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: November 18, 2014
    Assignee: The Institue of Microelectronics Chinese Academy of Science
    Inventors: Haizhou Yin, Wei Jiang, Zhijiong Luo, Huilong Zhu
  • Patent number: 8889552
    Abstract: A semiconductor device is manufactured using dual metal silicide layers. The semiconductor device includes a substrate having first and second regions, a first metal gate electrode on the substrate in the first region, a second metal gate electrode on the substrate in the second region, a first epitaxial layer on and in the substrate at both sides of the first metal gate electrode, a second epitaxial layer on and in the substrate at both sides of the second metal gate electrode, a first metal silicide layer on the first epitaxial layer, a second metal silicide layer on the second epitaxial layer, an interlayer dielectric layer on the first and second metal silicide layers, contact plugs passing through the interlayer dielectric layer and electrically connected to the first and second metal silicide layers.
    Type: Grant
    Filed: November 19, 2013
    Date of Patent: November 18, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangline Park, Boun Yoon, Jeongnam Han, Kee-Sang Kwon, Byung-Kwon Cho, Wongsang Choi
  • Publication number: 20140335690
    Abstract: A semiconductor device includes: a contact hole formed over a structure including a conductive pattern; a contact plug formed in the contact hole; a first metal silicide film surrounding the contact plug; and a second metal silicide film formed over the contact plug.
    Type: Application
    Filed: July 24, 2014
    Publication date: November 13, 2014
    Inventors: Woo Jun LEE, Seong Wan RYU
  • Publication number: 20140327080
    Abstract: The present invention provides a manufacturing method of a semiconductor structure, comprising the following steps. First, a substrate is provided, a first dielectric layer is formed on the substrate, a metal gate is disposed in the first dielectric layer and at least one source/drain region (S/D region) is disposed on two sides of the metal gate, a second dielectric layer is then formed on the first dielectric layer, a first etching process is then performed to form a plurality of first trenches in the first dielectric layer and the second dielectric layer, wherein the first trenches expose each S/D region. Afterwards, a salicide process is performed to form a salicide layer in each first trench, a second etching process is then performed to form a plurality of second trenches in the first dielectric layer and the second dielectric layer, and the second trenches expose the metal gate.
    Type: Application
    Filed: May 2, 2013
    Publication date: November 6, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Wen Hung, Chih-Sen Huang
  • Publication number: 20140327142
    Abstract: Techniques for reducing the specific contact resistance of metal-semiconductor (group IV) junctions by interposing a monolayer of group V or group III atoms at the interface between the metal and the semiconductor, or interposing a bi-layer made of one monolayer of each, or interposing multiple such bi-layers. The resulting low specific resistance metal-group IV semiconductor junctions find application as a low resistance electrode in semiconductor devices including electronic devices (e.g., transistors, diodes, etc.) and optoelectronic devices (e.g., lasers, solar cells, photodetectors, etc.) and/or as a metal source and/or drain region (or a portion thereof) in a field effect transistor (FET). The monolayers of group III and group V atoms are predominantly ordered layers of atoms formed on the surface of the group IV semiconductor and chemically bonded to the surface atoms of the group IV semiconductor.
    Type: Application
    Filed: October 18, 2012
    Publication date: November 6, 2014
    Inventors: Walter A Harrison, Paul A. Clifton, Andreas Goebel, R. Stockton Gaines
  • Patent number: 8877635
    Abstract: A method for fabricating metal-oxide semiconductor (MOS) transistor is disclosed. The method includes the steps of: providing a semiconductor substrate having a silicide thereon; performing a first rapid thermal process to drive-in platinum from a surface of the silicide into the silicide; and removing un-reacted platinum in the first rapid thermal process.
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: November 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Kuo-Chih Lai, Nien-Ting Ho, Shu Min Huang, Bor-Shyang Liao, Chia Chang Hsu
  • Patent number: 8865593
    Abstract: Exemplary embodiments provide materials and methods for forming a metal silicide layer and/or an NMOS transistor. The metal silicide layer can be formed by heating a metal layer containing at least a tellurium element on a semiconductor substrate. The metal silicide layer can thus contain at least the tellurium element on the semiconductor substrate. The metal silicide layer can be formed in an NMOS transistor. With the addition of tellurium element in the metal silicide layer, Schottky barrier height between the metal silicide layer and the underling semiconductor substrate can be reduced. Contact resistance of the NMOS transistor can also be reduced.
    Type: Grant
    Filed: October 18, 2012
    Date of Patent: October 21, 2014
    Assignee: Semiconductor Manufacturing International Corp
    Inventors: Haibo Xiao, Wayne Bao, Yanlei Ping
  • Patent number: 8865594
    Abstract: The invention provides a method of forming a film stack on a substrate, comprising performing a silicon containing gas soak process to form a silicon containing layer over the substrate, reacting with the silicon containing layer to form a tungsten silicide layer on the substrate, depositing a tungsten nitride layer on the substrate, subjecting the substrate to a nitridation treatment using active nitrogen species from a remote plasma, and depositing a conductive bulk layer directly on the tungsten nitride layer.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: October 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Sang-Hyeob Lee, Sang Ho Yu, Kai Wu
  • Patent number: 8865556
    Abstract: Techniques for forming a smooth silicide without the use of a cap layer are provided. In one aspect, a FET device is provided. The FET device includes a SOI wafer having a SOI layer over a BOX and at least one active area formed in the wafer; a gate stack over a portion of the at least one active area which serves as a channel of the device; source and drain regions of the device adjacent to the gate stack, wherein the source and drain regions of the device include a semiconductor material selected from: silicon and silicon germanium; and silicide contacts to the source and drain regions of the device, wherein an interface is present between the silicide contacts and the semiconductor material, and wherein the interface has an interface roughness of less than about 5 nanometers.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: October 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joseph S. Newbury, Kenneth Parker Rodbell, Zhen Zhang, Yu Zhu
  • Patent number: 8865592
    Abstract: A preferred embodiment includes a method of manufacturing a fuse element that includes forming a polysilicon layer over a semiconductor structure, doping the polysilicon layer with carbon or nitrogen, depositing a metal over the polysilicon layer; and annealing the metal and polysilicon layer to form a silicide in an upper portion of the polysilicon layer.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: October 21, 2014
    Assignee: Infineon Technologies AG
    Inventors: Jiang Yan, Henning Haffner, Frank Huebinger, SunOo Kim, Richard Lindsay, Klaus Schruefer