Utilizing Multilayered Mask Patents (Class 438/671)
  • Publication number: 20040127012
    Abstract: In accordance with the invention, a spaced-apart array of nanostructures is fabricated by providing a shadow mask having a plurality of spaced apart, relatively large apertures, reducing the size of the apertures to nanoscale dimensions, and depositing a material through the mask to form a plurality of spaced-apart nanostructures. In a preferred embodiment, the spaced apart nanostructures comprise nanoscale islands (nano-islands) of catalyst material, and spaced-apart nanowires such as carbon nanotubes are subsequently grown from the islands.
    Type: Application
    Filed: February 3, 2003
    Publication date: July 1, 2004
    Inventor: Sungho Jin
  • Publication number: 20040121594
    Abstract: A process for forming a pattern according to the invention comprises steps of disposing a mask on a surface of a substrate, and irradiating a resist film with a first energy beam through the mask, forming a first resist pattern by developing the resist film after applying the first energy beam, irradiating the first resist pattern with a second energy beam without through the mask, forming a second resist pattern smaller than the first resist pattern by subjecting the first resist pattern to heat treatment after applying the second energy beam, and patterning the workpiece film by use of the second resist pattern as a mask. As a result, it is possible to provide a process for forming the resist pattern formed on the substrate which can be miniaturized with highly accurate control of size with ease beyond a resolution limit imposed by photolithographic techniques.
    Type: Application
    Filed: November 14, 2003
    Publication date: June 24, 2004
    Inventors: Minoru Watanabe, Suguru Sasaki
  • Publication number: 20040121593
    Abstract: A gate oxide film is formed on a substrate. A polysilicon film is formed on the gate oxide film. A ruthenium film is formed as a mask material on the polysilicon film. A resist pattern is formed on the ruthenium film. After the ruthenium film is patterned using the resist pattern as a mask, a the patterned ruthenium film is shrunk. After the polysilicon film is patterned using a shrunk the shrunken ruthenium film, the shrunk shrunken ruthenium film is removed.
    Type: Application
    Filed: July 31, 2003
    Publication date: June 24, 2004
    Applicant: Renesas Technology Corp.
    Inventor: Takeshi Matsunuma
  • Publication number: 20040067655
    Abstract: A method for forming a pattern in a semiconductor device is disclosed which can increase the contact area between a photoresist and an anti-reflective film by performing an etching process on the anti-reflective film in a process of forming a photoresist pattern for a semiconductor device so as to form fine irregularities, thereby preventing collapse of a photoresist pattern. The disclosed method includes (a) forming an organic anti-reflective film by coating an organic anti-reflective coating composition onto an upper portion of a layer to be etched, and performing a baking process thereto; (b) forming fine irregularities on the organic anti-reflective film by performing an etching process on the formed organic anti-reflective film; and (c) forming a photoresist pattern by coating a photoresist on the upper portion of the organic anti-reflective film, exposing the photoresist and then developing the same.
    Type: Application
    Filed: June 13, 2003
    Publication date: April 8, 2004
    Inventors: Sung-Koo Lee, Jae-Chang Jung, Young-Sun Hwang, Cheol-Kyu Bok, Ki-Soo Shin
  • Patent number: 6716747
    Abstract: When a hole pattern is formed on a film to be processed, a matching deviation margin at a lithography step is reserved by making a diameter of a bottom of a hole substantially equal to a diameter of an aperture of the hole. The method for manufacturing the semiconductor apparatus includes the steps of: forming a (first) mask material film on a film to be processed; forming a tapered open pattern on the (first) mask material film; and etching the film to be processed by using the (first) mask material film as a mask.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: April 6, 2004
    Assignee: Sony Corporation
    Inventor: Fumikatsu Uesawa
  • Patent number: 6703266
    Abstract: A method for fabricating a thin film transistor array and driving circuit comprising the steps of: providing a substrate; patterning a polysilicon layer and an N+ thin film over the substrate to form a plurality of islands; patterning the islands to form P+ doped regions; patterning out source/drain terminals and the lower electrode of a storage capacitor; etching back the N+ thin film; patterning out a gate and the upper electrode of the storage capacitor and patterning a passivation layer and a conductive layer to form pixel electrodes and a wiring layout.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: March 9, 2004
    Assignee: Toppoly Optoelectronics Corp.
    Inventors: Hsin-Ming Chen, Yaw-Ming Tsai, Chu-Jung Shih
  • Publication number: 20040033444
    Abstract: The present invention provides a method of manufacturing a semiconductor device which includes a step of forming a laminated film for pattern formation on a substrate, in which the laminated film for pattern formation includes an innermost layer, an inner layer and a surface layer, an extinction coefficient k of the innermost layer is 0.3 or more, and an extinction coefficient k of the inner layer is 0.12 or more. It also provides a method of forming a pattern which includes a step of forming a laminated film for pattern formation on a substrate, in which the laminated film for pattern formation includes an innermost layer, an inner layer and a surface layer, an extinction coefficient k of the innermost layer is 0.3 or more, and an extinction coefficient k of the inner layer is 0.12 or more.
    Type: Application
    Filed: June 25, 2003
    Publication date: February 19, 2004
    Inventors: Akihiko Otoguro, Satoshi Takechi, Takatoshi Deguchi
  • Patent number: 6689661
    Abstract: A method of forming minimally spaced apart MRAM structures is disclosed. A photolithography technique is employed to define patterns an integrated circuit, the width of which is further reduced by etching to allow formation of patterns used to etch digit line regions with optimum critical dimension between any of the two digit line regions. Subsequent pinned and sense layers of MRAM structures are formed over the minimally spaced digit regions.
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: February 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: D. Mark Durcan, Trung T. Doan, Roger Lee, Dennis Keller, Ren Earl
  • Patent number: 6677227
    Abstract: A metalization process forms metal contacts having defined profiles for contact between microelectromechanical (MEMS) devices or chemical sensors with semiconductor devices. Gold contacts may be used for connecting the MEMS devices or chemical sensors to integrated CMOS devices. Gold contacts are deposited over a photoresist via having sidewalls for forming upwardly extending flanges. The metal contacts to the underlying semiconductor device, are formed using a polymethylmethacrylate (PMMA) etch back process for exposing and dissolving the gold metalization layer save the metal contact under a surviving portion of the etched back PMMA layer in a dimple of the gold layer over the photoresist via. The photoresist layer serves to form deep well gold contacts having upwardly extending flanges for connection to the MEMS devices or chemical sensors and to the integrated semiconductor devices.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: January 13, 2004
    Assignee: The Aerospace Corporation
    Inventors: James S. Swenson, Robert C. Cole
  • Patent number: 6660627
    Abstract: A method for planarization of a semiconductor wafer with a high selectivity is describe. The semiconductor wafer has a hard mask, a stop layer disposed on the hard mask, and a barrier layer disposed on the stop layer. The method includes performing a chemical mechanical polishing (CMP) process on the barrier layer so as to expose the stop layer, and removing the stop layer. The polishing selectivity of the barrier layer relative to the stop layer is greater than 50. Since the material of stop layer is different from the material of barrier layer, the high selectivity is easily achieved. Thus, the surface of semiconductor wafer can be highly planarized.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: December 9, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Shao-Chung Hu, Hsueh-Chung Chen, Shih-Hsun Hsu, Chia-Lin Hsu
  • Patent number: 6653176
    Abstract: A method for manufacturing an x-ray detector comprises the steps of: preparing an insulating substrate; forming a gate and a pad on the insulating substrate; forming a gate insulating film, an amorphous silicon layer and an etch stopper over the insulating substrate, inclusive of the gate and the pad; simultaneously forming a channel layer, an ohmic contact layer and a source/drain over the gate insulating film, inclusive of the etch stopper, and a common electrode over a proper portion of the gate insulating film; forming a first storage electrode over the gate insulating film, inclusive of the common electrode; forming a protective layer over the entire structure of the insulating substrate on which the source/drain and the first storage electrode have been formed, and subsequently forming a contact hole and via holes over a proper portion of the protective layer; and forming a second storage electrode over the protective layer.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: November 25, 2003
    Assignee: Boe-Hydis Technology Co., Ltd.
    Inventors: Hyun Jin Kim, Seung Moo Rim, Jin Hui Cho, Kyoung Seok Son
  • Patent number: 6645856
    Abstract: A pattern is transferred to a resist film on a wafer by a reduction projection exposure method using a half-tone phase-shift mask in which is formed a half-tone phase-shifter pattern including a thin-film pattern functioning as an attenuator and a resist pattern functioning as the photosensitive composition for phase adjustment. This method improves the accuracy of dimensions of the pattern transferred to the wafer.
    Type: Grant
    Filed: June 14, 2002
    Date of Patent: November 11, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Norio Hasegawa, Tsuneo Terasawa
  • Publication number: 20030180669
    Abstract: Disclosed is a micro-pattern forming method for a semiconductor device comprising: sequentially forming first and second insulation films on a semiconductor substrate; forming a photosensitive film on the second insulation film; dry etching the second insulation film; removing the photosensitive film; forming a third insulation film on the substrate; forming a fourth insulation film on a resultant structure; etching the third and fourth insulation films using a proper formal solution; etching the third insulation film using the fourth and second insulation films as masks to form a third insulation film pattern; and filling a conductive film into spaces between the second and third insulation films and second flattening the conductive film to form conductive lines.
    Type: Application
    Filed: January 8, 2003
    Publication date: September 25, 2003
    Inventor: Cheol Soo Park
  • Patent number: 6624085
    Abstract: A method of fabricating a mask forms a rectangular opening within etch resistant material that overlays a substrate. The mask preferably comprises two layers of photoresist separated by a layer of light blocking material. One of the layers of photoresist is patterned per a longitudinal exposure strip, and the other per an overlap of a lateral exposure strip with the longitudinal exposure strip, so as to provide an opening for the mask where the two overlap. With this mask over a substrate, the substrate is etched to form a container therein with a rectangular cross-section corresponding to the aperture of the mask. The container is then lined with electrically conductive material, dielectric, and electrically conductive material respectively to form a capacitor in the container—e.g., a container-cell capacitor for a DRAM device.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: September 23, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 6613655
    Abstract: A method of fabricating a system on a chip device. On a substrate having a memory cell region and a peripheral circuit region a gate oxide layer and a polysilicon layer are formed. The peripheral circuit region can further be divided into a logic device region and a hybrid circuit region. A dielectric layer is formed on the peripheral circuit region. A cap layer and a conductive layer are further formed on the polysilicon layer in the memory cell region and on the dielectric layer in the peripheral circuit region. Using the dielectric layer in the peripheral circuit region and the gate oxide layer in the memory cell region as etch stop, the cap layer and the conductive layer in the peripheral circuit region, and the cap layer, the conductive layer and the polysilicon layer are patterned. As a result, at least a gate and a top electrode are formed in the memory cell region and the hybrid circuit region, respectively.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: September 2, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6610607
    Abstract: A method to define and tailor process limited lithographic features is provided. The method may be used to form sub lithographic spaces between features on a semiconductor wafer. A mask is formed and patterned on the wafer. Spacers are formed on sidewalls of the mask. The pattern of the mask and spacers is then transferred to an underlying layer.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: August 26, 2003
    Assignee: International Business Machines Corporation
    Inventors: Douglas S. Armbrust, Dale W. Martin, Jed H. Rankin, Sylvia Tousley
  • Publication number: 20030150384
    Abstract: Aperture masks and deposition techniques for using aperture masks are described. In addition, techniques for creating aperture masks and other techniques for using the aperture masks are described. The various techniques can be particularly useful in creating circuit elements for electronic displays and low-cost integrated circuits such as radio frequency identification (RFID) circuits. In addition, the techniques can be advantageous in the fabrication of integrated circuits incorporating organic semiconductors, which typically are not compatible with wet processes.
    Type: Application
    Filed: February 14, 2002
    Publication date: August 14, 2003
    Applicant: 3M Innovative Properties Company
    Inventors: Paul F. Baude, Patrick R. Fleming, Michael A. Haase, Tommie W. Kelley, Dawn V. Muyres, Steven Theiss
  • Publication number: 20030148608
    Abstract: In order to shorten the period for the development and manufacture of a semiconductor integrated circuit device, at the time of transferring integrated circuit patterns onto a wafer by an exposure process, a photomask PM1 is used which is provided partially with light shielding patterns 3a formed of a resist film, in addition to light shielding patterns formed of a metal.
    Type: Application
    Filed: February 12, 2003
    Publication date: August 7, 2003
    Inventors: Norio Hasegawa, Joji Okada, Toshihiko Tanaka, Kazutaka Mori, Ko Miyazaki
  • Publication number: 20030139036
    Abstract: A method for fabricating a semiconductor device includes the steps of forming a mask on a predetermined layer, said mask having a first opening at a given side of the predetermined layer and a second opening that continues to and is smaller than the first opening, and forming a plating layer on the predetermined layer by using the mask.
    Type: Application
    Filed: November 1, 2002
    Publication date: July 24, 2003
    Applicant: FUJITSU QUANTUM DEVICES LIMITED
    Inventor: Yutaka Sato
  • Patent number: 6579792
    Abstract: The invention relates to a method of manufacturing a semiconductor device, comprising the provision of a substrate (1) having a dielectric layer (2) on this substrate (1), a conductive layer (3) on the dielectric layer (2), an inorganic anti-reflection coating (4) on the conductive layer (3), and a resist mask (6) on the inorganic anti-reflection coating (4). The method further comprises the following steps: patterning the inorganic anti-reflection coating (4) by means of the resist mask (6), patterning the conductive layer (3) by etching down to the dielectric layer (2), removing the resist mask (6), and removing the inorganic anti-reflection coating (4). According to the invention, the inorganic anti-reflection coating (4) is removed by means of a dry etch, using a polymerizing gas. It is achieved by this that no or hardly any changes in the critical dimension will occur.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: June 17, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Walterus Theodorus Franciscus Maria De Laat, Johannes Van Wingerden, Petrus Maria Meijer
  • Patent number: 6579790
    Abstract: A method of fabricating a dual damascene opening in a dielectric layer above a substrate. A first photoresist layer having a first opening therein is formed over the dielectric layer. The first opening exposes the dielectric layer at a position where a via is desired. A buffer layer is formed over the first photoresist layer. A second photoresist layer having a second opening is formed over the buffer layer. The second opening exposes the area where a conductive wire is desired. The first opening and the second opening together form a metallic interconnect structure. Using the first and the second photoresist layer as a mask, a dual damascene structural opening that includes a via opening and a conductive wire trench is formed in the dielectric layer.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: June 17, 2003
    Assignee: United Microelectronics, Corp.
    Inventors: I-Hsiung Huang, Jiunn-Ren Hwang
  • Patent number: 6576540
    Abstract: The present invention discloses a substrate within a Ni/Au structure electroplated on electrical contact pads and a method for fabricating the same. The method comprises: providing a substrate with a circuit layout pattern and forming a conducting film on the surface of the substrate; depositing a first photoresist layer within an opening on said electrical conducting film surface to expose a portion of said circuit layout pattern to be electrical contact pads; removing the exposed conducting film uncovered by the first photoresist layer; depositing a second photoresist layer, covering the conducting film exposed in the openings of the first photoresist layer; electroplating Ni/Au covering the surface of the electrical contact pads; removing the first and second photoresists, and the conducting film covered by the photoresists; depositing solder mask on the substrate within an opening to expose said electrical contact pads.
    Type: Grant
    Filed: March 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Phoenix Precision Technology Corporation
    Inventors: Shih-Ping Hsu, Chiang-Du Chen, Yen-Hung Liu
  • Patent number: 6555472
    Abstract: With standard DUV lithography technology it is not easy to achieve MOS transistor gates in sub-100 nm range. With the method of trim-etching in HI/O2 plasmas there is an opportunity to use the current lithography tools, to reduce the dimensions of the resist feature, and to achieve sub-100 nm MOS transistor gates for advanced devices. The method of trim-etching in HI/O2 plasmas delivers another factor to control the critical dimension of the MOS devices very accurately. Therefore, this invention helps to significantly reduce the total cost for manufacturing small MOS devices with a critical dimension in the sub-100 nm range.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: April 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Massud A. Aminpur
  • Publication number: 20030077899
    Abstract: Successive use is made of a layer of radiation-sensitive resin at points (4′) intended to form the wide semi-conductor patterns in a still intact layer (2), under at least one hard mask (3′), then of a resin sensitive to particle bombardment (6) over fine patterns to be formed in this same layer (2), which may be juxtaposed to those previously mentioned. The first resin patterns are exposed collectively and rapidly by insolation, while electron bombardment allows fine patterns to be formed with great precision. Another hard mask (9) was deposited before the second resin (6) and forms flanks (10) around the wide patterns, which protect the latter from lateral attacks during etching.
    Type: Application
    Filed: November 29, 2002
    Publication date: April 24, 2003
    Inventor: Simon Deleonibus
  • Patent number: 6544852
    Abstract: In a method for forming a self-aligned contact in a MOS-type semiconductor device, a gate electrode film is deposited on a semiconductor substrate and an insulating film is deposited on the gate electrode film. The gate electrode film and the insulating film are then patterned such that the portion of the device where the two films are located is higher than any other regions of the device. A side wall insulating film is formed on the side wall of the gate electrode and the insulating film. Source and drain regions are formed in the face of the substrate using the patterned gate electrode film as a mask. A conductor film is then deposited on the exposed surface of the semiconductor substrate, the first insulating film and the side wall insulating film. A flattening film is then deposited to flatten the surface of the semiconductor, and a region of the flattened film and the conductor film which is above the gate electrode film is etched, using a photoresist film as a mask.
    Type: Grant
    Filed: July 19, 1993
    Date of Patent: April 8, 2003
    Assignee: Seiko Instruments Inc.
    Inventor: Takashi Hosaka
  • Patent number: 6534399
    Abstract: A method of fabricating a trench on an integrated circuit having first and second insulative layers includes providing a layer of material over the insulative layers, forming a first self-assembled monolayer on the metal layers, etching the first self-assembled monolayer to form a first aperature in the layer of material, etching the first and second insulative layers through the first aperature to form a first portion of the trench, forming a second self-assembled monolayer on the layer of material, etching the second self-assembled monolayer to form a second aperature in the layer of material wider than the first aperature, and etching the second insulative layer through the second aperature to form a second portion of the trench.
    Type: Grant
    Filed: January 24, 2001
    Date of Patent: March 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Zoran Krivokapic
  • Patent number: 6521522
    Abstract: The present invention relates to a method for removing polysilicon layers used as a hard mask from contact holes without damaging the semiconductor substrate during the etching process. The present invention according to the present invention comprises the steps of: forming a nitride layer on a contact region to be contacted with a conducting layer; forming an interlayer insulation layer on the nitride layer, wherein the interlayer insulation layer has a different etching rate from the nitride layer so that the nitride layer acts as an etching barrier layer for the interlayer insulation layer; forming a polysilicon pattern on the interlayer insulation layer; etching the interlayer insulation layer using the polysilicon pattern as an etching mask, whereby a first opening to expose a portion of the nitride layer is formed; and etching the exposed nitride layer, thereby forming a second opening to expose the contact region.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: February 18, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Yun-Seok Cho
  • Patent number: 6518180
    Abstract: A phase-shifting mask extracted an end, corner, intersection or the like of a random interconnect pattern, and a phase-shifting mask for exposing the other region are multiple-exposed on an identical resist film via a projection optical system. Alternatively, an arbitrary pattern is quantized into two or four phase-shifting masks to perform the multiple exposure similarly. Thereby, the interconnect pitch of a logic LSI can be reduced by optical lithography, and thus there can be manufactured a high-performance LSI with its low cost and high throughput while suppressing an interconnect delay.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: February 11, 2003
    Assignee: Hitachi, Ltd.
    Inventor: Hiroshi Fukuda
  • Publication number: 20030003725
    Abstract: When a hole pattern is formed on a film to be processed, a matching deviation margin at a lithography step is reserved by making a diameter of a bottom of a hole substantially equal to a diameter of an aperture of the hole. The method for manufacturing the semiconductor apparatus includes the steps of: forming a (first) mask material film on a film to be processed; forming a tapered open pattern on the (first) mask material film; and etching the film to be processed by using the (first) mask material film as a mask.
    Type: Application
    Filed: May 23, 2002
    Publication date: January 2, 2003
    Inventor: Fumikatsu Uesawa
  • Patent number: 6489237
    Abstract: A new process is provided for the creation of sub-micron conductive lines and patterns. A conductive layer is deposited over the surface of a substrate, a sacrificial layer that differs with the conductive layer in etch characteristics is deposited over the surface of the conductive layer. The sacrificial layer is patterned and etched, creating an opening in the sacrificial layer that aligns with but is larger in cross section than the to be created sub-micron conductive lines and patterns. A spacer layer is deposited over the surface of which a hard mask layer is deposited, filling the opening in the sacrificial layer. The hard mask layer is polished down to the surface of the spacer layer, leaving the hard mask layer in place overlying the spacer layer inside the opening created in the sacrificial layer.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: December 3, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Hua-Shu Wu
  • Patent number: 6475921
    Abstract: A method of fabricating a mask forms a rectangular opening within etch resistant material that overlays a substrate. The mask preferably comprises two layers of photoresist separated by a layer of light blocking material. One of the layers of photoresist is patterned per a longitudinal exposure strip, and the other per an overlap of a lateral exposure strip with the longitudinal exposure strip, so as to provide an opening for the mask where the two overlap. With this mask over a substrate, the substrate is etched to form a container therein with a rectangular cross-section corresponding to the aperture of the mask. The container is then lined with electrically conductive material, dielectric, and electrically conductive material respectively to form a capacitor in the container—e.g., a container-cell capacitor for a DRAM device.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: November 5, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Publication number: 20020160601
    Abstract: A method of fabricating the semiconductor device for preventing polysilicon line from being damaged during removal of a photoresist layer. The method begins by forming polysilicon lines on a core device region and an electrostatic discharge protection device region of a substrate. A plurality of offset spacers is formed on sidewalls of the polysilicon lines. After the offset spacers are formed, a photoresist layer is formed over the substrate to cover the core device region, while exposing the electrostatic discharge protection device region. With the photoresist layer serving as a mask, a punch-through ion implantation is performed on the electrostatic discharge protection device region before the photoresist layer is removed. Next, a plurality of lightly doped source/drain regions is formed in the core device region. A spacer is further formed on the edge of the offset spacer, followed by forming source/drain regions in the core device region and the electrostatic discharge protection device.
    Type: Application
    Filed: May 9, 2001
    Publication date: October 31, 2002
    Applicant: United Microelectronics Corp.
    Inventors: Shih-Chieh Hsu, Yi-Chung Sheng, Chang-Chi Huang, Sheng-Hao Lin, Cheng-Tung Huang
  • Patent number: 6455439
    Abstract: A method of fabricating a mask forms a rectangular opening within etch resistant material that overlays a substrate. The mask preferably comprises two layers of photoresist separated by a layer of light blocking material. One of the layers of photoresist is patterned per a longitudinal exposure strip, and the other per an overlap of a lateral exposure strip with the longitudinal exposure strip, so as to provide an opening for the mask where the two overlap. With this mask over a substrate, the substrate is etched to form a container therein with a rectangular cross-section corresponding to the aperture of the mask. The container is then lined with electrically conductive material, dielectric, and electrically conductive material respectively to form a capacitor in the container—e.g., a container-cell capacitor for a DRAM device.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: September 24, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 6448179
    Abstract: The present invention discloses a method for fabricating a semiconductor device. In particular, methods of the present invention produces a contact plug which is larger than the presumed contact region. As a result, the acceptable process error margin for misalignment is increased, and the property and the yield of semiconductor devices are improved.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: September 10, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jeong Ho Kim, Yu Chang Kim
  • Patent number: 6444565
    Abstract: A structure and process to define a via/interconnect structure is described. The structure is formed by reactive ion etching (RIE) where vias are formed first then the interconnects. The disclosed method relies on first depositing a metal with a thickness equivalent to the total height of the via and interconnect. Once vias are delineated by forming a hard mask and lithography, the lines are patterned using a lithographic step. Vias and lines are formed using lithography and RIE in one step and interfacial integrity is maintained resulting in high electromigration performance.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: September 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Christopher Adam Feild, Roy Charles Iggulden, Rajiv Vasant Joshi, Edward William Kiewra
  • Patent number: 6429066
    Abstract: A circuit element comprising a semiconductor substrate. A well region of a first conductivity type is formed in a surface of the substrate. A dielectric film is formed on the substrate. A gate conductor of the first conductivity type is formed on the dielectric film over the well region of the substrate. The gate conductor is formed of a polycrystalline silicon film. The gate conductor has an impurity concentration substantially lower than a standard impurity concentration for the gate conductor of an MOS device. A polycrystalline silicon edge spacer is formed on each side of the gate conductor. A first pair of first conductivity type impurity diffusion regions are formed adjacent to the polycrystalline silicon edge spacers. The polycrystalline silicon film and edge spacers lie on a portion of the substrate between the first pair of first conductivity type impurity diffusion regions.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: August 6, 2002
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey S. Brown, Robert J. Gauthier, Jr., Steven H. Voldman
  • Patent number: 6410453
    Abstract: A method of fabricating a mask forms a rectangular opening within etch resistant material that overlays a substrate. The mask preferably comprises two layers of photoresist separated by a layer of light blocking material. One of the layers of photoresist is patterned per a longitudinal exposure strip, and the other per an overlap of a lateral exposure strip with the longitudinal exposure strip, so as to provide an opening for the mask where the two overlap. With this mask over a substrate, the substrate is etched to form a container therein with a rectangular cross-section corresponding to the aperture of the mask. The container is then lined with electrically conductive material, dielectric, and electrically conductive material respectively to form a capacitor in the container—e.g., a container-cell capacitor for a DRAM device.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: June 25, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 6399992
    Abstract: A semiconductor device comprises a semiconductor substrate, a p-type well formed in the semiconductor substrate, an n-type well formed in the semiconductor substrate and positioned contiguous to the p-type well, an n-type diffused region formed in the p-type well, and a p-type diffused region formed in the n-type well, wherein a corner C1 having the p-type well on the inside is present in a part of the boundary pattern between the p-type well and the n-type well. At least one of the two sides defining the corner C1 extends from a top of the corner to the n-well by a predetermined width d over a predetermined length. The particular structure permits suppressing generation of a difference in a well isolation punch-through voltage between the corner and the straight portion of the well boundary of the semiconductor device, making it possible to provide a fine device structure while ensuring a desired well isolation punch-through voltage without relaxing a design rule.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: June 4, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiko Matsumoto, Hirofumi Igarashi
  • Patent number: 6391771
    Abstract: The present invention provides Cu lines which are enclosed within Cu diffusion barrier layers, for IC structures such as semiconductor devices. The Cu lines (310) have conventional top (316) and bottom (318) Cu diffusion barrier layers and novel sidewall layers (324 and 326) comprising Cu diffusion barrier materials. The present invention also provides for conductive interconnect lines for semiconductor devices which compensate partly or completely for a misalignment between the line etch pattern and the underlying contact element, such as a via plug. The misalignment tolerant line (430) is formed by fabricating novel sidewalls (438 and 440) on the line wherein the sidewalls have a thickness which equals or exceeds the width of the gap (431) which is caused by the misalignment. The misalignment tolerant line compensates for the misalignment gap and thereby prevents etching a trench in the contact element.
    Type: Grant
    Filed: July 23, 1998
    Date of Patent: May 21, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Mehul B. Naik, Suketu A. Parikh
  • Patent number: 6376361
    Abstract: A method of removing excess metal, particularly copper, in the fabrication of interconnects has been achieved. In accordance with the objects of this invention, a new method of removing excess metal in the formation of an interconnect has been achieved. A semiconductor substrate is provided. A dielectric layer is provided overlying the semiconductor substrate. Trenches are formed in this dielectric layer for planned damascene or dual damascene interconnects. A barrier layer is provided overlying the dielectric layer and lining the trenches. A metal layer is provided overlying the barrier layer and completely filling the trenches. A masking layer is deposited overlying the metal layer. The masking layer is patterned to form a mask that only overlies the trenches. The metal layer is etched down where not covered by the mask. This etching down is partial so that the barrier layer is not exposed. This etching down leaves the metal layer underlying the mask thicker than the metal layer not underlying the mask.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: April 23, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Simon Chooi, Mei Sheng Zhou, Tak Yan Tse
  • Patent number: 6372642
    Abstract: A method for fabricating and patterning semiconductor devices with a resolution down to 0.12 &mgr;m on a substrate structure. The method begins by providing a substrate structure comprising various layers of oxide and/or nitride formed over either monocrystalline silicon or polycrystalline silicon. A silicon oxynitride layer is formed on the substrate structure. Key characteristics of the oxynitride layer include: a refractive index of between about 1.85 and 2.35 at a wavelength of 248 nm, an extinction coefficient of between 0.45 and 0.75 at a wavelength of 248 nm, and a thickness of between about 130 Angstroms and 850 Angstroms. A photoresist layer is formed over the silicon oxynitride layer and exposed at a wavelength of between about 245 nm and 250 nm; whereby during exposure at a wavelength of between 245 nm 250 nm, the silicon oxynitride layer provides a phase-cancel effect.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: April 16, 2002
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Liang-Gi Yao, Pin-Ting Wang
  • Patent number: 6350674
    Abstract: A semiconductor device having good electrical properties, and a method of manufacturing this semiconductor device by forming an insulation layer on a first wiring layer and then, simultaneously forming in this insulation layer a second wiring layer and a contact layer for connecting the first wiring layer and the second wiring layer. A positive resist layer having an opening over an area where a through-hole is to be formed is first formed on the insulation layer. A negative resist layer having an opening over an area where a wiring trench is to be formed is then formed on the positive resist layer. The insulation layer, positive resist layer, and negative resist layer are then simultaneously etched to form a wiring trench and through-hole that are automatically aligned with each other. The wiring trench and through-hole are then filled with a conductive material to form a second wiring layer and contact layer.
    Type: Grant
    Filed: March 24, 2000
    Date of Patent: February 26, 2002
    Assignee: Seiko Epson Corporation
    Inventor: Hiroshi Okamura
  • Patent number: 6350682
    Abstract: A method of fabricating dual damascene structure. A substrate having devices and a defined conductive layer is provided. A dielectric layer and a hard mask material layer are formed respectively over the substrate. An opening is defined within the hard mask material layer. Because of the different selectivity of the hard mask material layer and the dielectric layer, a trench is formed within the dielectric layer by defining the hard material mask layer and a portion of dielectric layer until the conductive layer is exposed. The cross shape of the trench has a wider opening and a narrower bottom. A metal layer is then formed and the trench is filled up with the metal layer. The process of dual damascene structure is accomplished..
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: February 26, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Kuan-Yang Liao
  • Patent number: 6340635
    Abstract: A process for the formation of a wiring pattern, which includes the steps of: exposing a resist through a photomask, the photomask having a pattern whose line width is equal to or less than a resolution limit; and developing the exposed resist to form a resist pattern having groove depressions on the surface thereof, the depressions not reaching the back of the resist pattern. The resist may be a positive resist in which case the resist pattern is formed on an underplate feed film; a plating metal is precipitated on the feed film in a region not covered by the resist pattern; the resist pattern is stripped after the precipitation; and the feed film is selectively removed in a region not covered by the plating metal. Alternatively, the resist may be a negative resist in which case the resist pattern is formed on a substrate; a metallic material is deposited on the resist pattern and the substrate; and the resist is stripped from the substrate to remove the overlying metallic material.
    Type: Grant
    Filed: November 4, 1999
    Date of Patent: January 22, 2002
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yuji Toyota, Yoshihiro Koshido, Masayuki Hasegawa
  • Patent number: 6319823
    Abstract: A method is used to form a borderless via in a semiconductor device. A conductive layer, a borophosphosilicate glass (BPSG) layer and a patterned first mask layer are formed on a dielectric layer in sequence. The BPSG layer is patterned into a BPSG plug while using the patterned first mask layer as a mask. A second mask layer is formed to cover the patterned first mask layer and the metal layer. The conductive layer is defined to form a conductive line beneath the BPSG plug and the second mask layer. The first and second photoresist mask layers are removed. An inter-metal dielectric layer is formed around the BPSG plug and the conductive line. A via is formed in the inter-metal dielectric layer by removing the BPSG plug. A barrier layer and a metal layer fill the via to form a metal plug.
    Type: Grant
    Filed: January 13, 2000
    Date of Patent: November 20, 2001
    Assignee: Taiwan Semiconductor Manufacturing Corp.
    Inventors: Chia-Chen Liu, Jyh-Ren Wu
  • Patent number: 6319824
    Abstract: A method of forming a contact hole for a semiconductor device, and a method of forming a capacitor for a semiconductor device using the same. An interlayer dielectric layer, a contact mask material layer including of a material having a high etching selectivity with respect to the interlayer dielectric layer, an anti-reflection layer, and a photoresist layer, are formed on a semiconductor substrate. A photoresist pattern is formed from the photoresist layer to expose part of the anti-reflection layer, and a flow process is performed on the photoresist pattern to expose even a smaller amount of the anti-reflection layer. The anti-reflection layer and the contact mask material layer are then etched to expose part of the interlayer dielectric layer, and the interlayer dielectric layer is etched to form a contact hole.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: November 20, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-hyeong Lee, Ji-chul Shin
  • Patent number: 6316358
    Abstract: A method for forming a uniform conductive pattern on an integrated circuit substrate having a step by a single photography process. An exposure mask has a different pattern in accordance with the topology of the integrated circuit substrate. The exposure mask has a increased inter-pattern space at a lower portion of the step and has a reduced inter-pattern space at a upper portion of the step. During the exposure process, a sufficient amount of light is applied to a photoresist layer at the lower portion of the step and an optical amount of light is applied to the photoresist layer at the upper portion of the step. As a result, scum phenomenon at the lower portion of the step can be prevented. Further, overetching of the conductive pattern at the upper portion of the step can be prevented.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: November 13, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Chan Shin
  • Patent number: 6309963
    Abstract: In a method of manufacturing a semiconductor device wherein the step of forming a titanium film and a titanium nitride film on an aluminum-based alloy film overlying a substrate is carried out for a plurality of such substrates in succession; the titanium film and the titanium nitride film are formed within an identical chamber by changing a processing gas, and under the condition that, in case of forming the titanium film by sputtering, a titanium target having been employed for the formation of the titanium nitride film is used. Thus, the formation of an aluminum-titanium alloy layer attributed to a heat treatment at or above 400° C. can be suppressed to enhance an electromigration immunity.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: October 30, 2001
    Assignee: Sony Corporation
    Inventor: Hajime Yamagishi
  • Publication number: 20010024875
    Abstract: A method for fabricating and patterning semiconductor devices with a resolution down to 0.12 &mgr;m on a substrate structure. The method begins by providing a substrate structure comprising various layers of oxide and/or nitride formed over either monocrystalline silicon or polycrystalline silicon. A silicon oxynitride layer is formed on the substrate structure. Key characteristics of the oxynitride layer include: a refractive index of between about 1.85 and 2.35 at a wavelength of 248 nm, an extinction coefficient of between 0.45 and 0.75 at a wavelength of 248 nm, and a thickness of between about 130 Angstroms and 850 Angstroms. A photoresist layer is formed over the silicon oxynitride layer and exposed at a wavelength of between about 245 nm and 250 nm; whereby during exposure at a wavelength of between 245 nm 250 nm, the silicon oxynitride layer provides a phase-cancel effect.
    Type: Application
    Filed: May 30, 2001
    Publication date: September 27, 2001
    Applicant: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Liang-Gi Yao, Pin-Ting Wang
  • Patent number: 6294465
    Abstract: A method for making an integrated circuit includes forming an aluminum layer adjacent a semiconductor wafer, and forming a photoresist layer adjacent the aluminum layer, with at least a portion of the aluminum layer being uncovered. The method also includes exposing the photoresist layer to a pattern image, developing the exposed photoresist layer using a developer and stripping away undeveloped photoresist portions to define a mask including mask features having reduced widths than would otherwise occur adjacent the aluminum layer. The reduced widths are based upon an interaction between the photoresist, the developer and the aluminum. The method may include etching the aluminum layer using the mask to thereby define circuit features having a smaller critical dimension than would otherwise be produced.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: September 25, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Jose Luis Hernandez, Carlos De Miguel Gil, Ines Vincueria Morena