Utilizing Reflow (e.g., Planarization, Etc.) Patents (Class 438/760)
  • Publication number: 20100151668
    Abstract: A method for fabricating an insulation layer includes forming an insulation layer over a nitride layer using a silicon source and a phosphorus source, wherein the insulation layer includes a first insulation layer contacting the nitride layer and a second insulation layer formed on the first insulation layer, wherein the first insulation layer is formed using a higher flow rate of the silicon source and a lower flow rate of the phosphorus source than used with the second insulation layer.
    Type: Application
    Filed: December 30, 2008
    Publication date: June 17, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Yang-Han YOON
  • Patent number: 7727895
    Abstract: Disclosed is a substrate processing method that dissolves and deforms a photoresist film having a first pattern formed on a substrate to reshape the resist film into a second pattern During the reflow process, an atmosphere of a thinner vapor-containing gas is established in a processing chamber. A substrate is placed on a temperature adjusting plate. The target temperature of the temperature adjusting plate is set and controlled by a control unit, and the temperature of the temperature adjusting plate is controlled by a temperature regulator based on the target temperature set by the control unit The control unit set and controls the target temperature so that it meets the following requirement: the atmospheric temperature?the target temperature?(the atmospheric temperature+2° C.). Due to the above, the reflowing of the resist can be performed stably, while achieving a satisfactory reflow rate although it is somewhat low.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: June 1, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yutaka Asou
  • Patent number: 7700498
    Abstract: In accordance with the invention, the structure (10A, 10B) of a patterned nanoscale or near nanoscale device (“nanostructure”) is repaired and/or enhanced by liquifying the patterned device in the presence of appropriate guiding conditions for a period of time and then permitting the device to solidify. Advantageous guiding conditions include adjacent spaced apart or contacting surfaces (12, 13A, 13B) to control surface structure and preserve verticality and unconstrained boundaries to permit smoothing of edge roughness. In an advantageous embodiment, a flat planar surface (12) is disposed overlying a patterned nanostructure surface (13A, 13B) and the surface (13A, 13B) is liquified by a high intensity light source to repair or enhance the nanoscale features.
    Type: Grant
    Filed: May 29, 2006
    Date of Patent: April 20, 2010
    Assignee: Princeton University
    Inventors: Stephen Y. Chou, Qiangfei Xia
  • Patent number: 7696096
    Abstract: A method of forming a pattern includes forming a first layer on a substrate, forming a second layer on the first layer, depositing a multi-temperature phase-change material on the second layer, patterning the second layer using the multi-temperature phase-change material as a mask, reflowing the multi-temperature phase-change material, and patterning the first layer using the reflowed multi-temperature phase-change material as a mask.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: April 13, 2010
    Assignee: Palo Alto Research Center Incorporated
    Inventor: Scott Jong Ho Limb
  • Patent number: 7645696
    Abstract: Methods of depositing thin seed layers that improve continuity of the seed layer as well as adhesion to the barrier layer are provided. According to various embodiments, the methods involve performing an etchback operation in the seed deposition chamber prior to depositing the seed layer. The etch step removes barrier layer overhang and/or oxide that has formed on the barrier layer. It some embodiments, a small deposition flux of seed atoms accompanies the sputter etch flux of argon ions, embedding metal atoms into the barrier layer. The embedded metal atoms create nucleation sites for subsequent seed layer deposition, thereby promoting continuous seed layer film growth, film stability and improved seed layer-barrier layer adhesion.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: January 12, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Alexander Dulkin, Anil Vijayendran, Tom Yu, Daniel R. Juliano
  • Patent number: 7632690
    Abstract: A process and apparatus for controlling an etchant gas concentration in an etch chamber. The etchant gas concentration and an inert gas concentration are determined and the latter concentration is used to normalize the etchant gas concentration. The normalized value is compared with a predetermined reference value and the flow of etchant gas into the chamber is controlled in response thereto.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: December 15, 2009
    Assignee: Agere Systems Inc.
    Inventor: Gerald W. Gibson, Jr.
  • Patent number: 7618899
    Abstract: Methods of fabricating a semiconductor integrated circuit device are disclosed. The methods of fabricating a semiconductor integrated circuit device include forming a hard mask layer on a base layer, forming a line sacrificial hard mask layer on the hard mask layer in a first direction, coating a high molecular organic material layer on the line sacrificial hard mask layer pattern, patterning the high molecular organic material layer and the line sacrificial hard mask layer pattern in a second direction, forming a matrix sacrificial hard mask layer pattern, forming a hard mask layer pattern by patterning the hard mask layer with the matrix sacrificial hard mask layer pattern as an etching mask and forming a lower pattern by patterning the base layer using the hard mask layer pattern as an etch mask. The method according to the invention is simpler and less expensive than conventional methods.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: November 17, 2009
    Assignee: Samsung Electroic Co., Ltd.
    Inventors: Seung-Pil Chung, Dong-Chan Kim, Chang-Jin Kang, Heung-Sik Park
  • Patent number: 7585765
    Abstract: An interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the surface oxidation problem of plating a conductive material onto a noble metal seed layer are provided. In accordance with the present invention, a hydrogen plasma treatment is used to treat a noble metal seed layer such that the treated noble metal seed layer is highly resistant to surface oxidation. The inventive oxidation-resistant noble metal seed layer has a low C content and/or a low nitrogen content.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Nancy R. Klymko, Christopher C. Parks, Keith Kwong Hon Wong
  • Patent number: 7585784
    Abstract: A system and method is disclosed for reducing etch sequencing induced downstream dielectric defects produced in a SOG planarization process used in high volume semiconductor manufacturing. Three factors have been identified as causes of the defects. The three factors are: (1) phosphorus-doping in the base dielectric, and (2) using for SOG etchback an etch tool that was last used for a bond pad etch process, and (3) residual metal contaminants in the etch chamber used for the SOG etchback. Elimination of any one of these three factors eliminates the defects.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: September 8, 2009
    Assignee: National Semiconductor Corporation
    Inventors: Abhay Ramrao Deshmukh, Satnam Singh Doad
  • Patent number: 7582565
    Abstract: Broadly speaking, the present invention provides a method and an apparatus for planarizing a semiconductor wafer (“wafer”). More specifically, the present invention provides for depositing a planarizing layer over the wafer, wherein the planarizing layer serves to fill recessed areas present on a surface of the wafer. A planar member is positioned over and proximate to a top surface of the wafer. Positioning of the planar member serves to entrap electroless plating solution between the planar member and the wafer surface. Radiant energy is applied to the wafer surface to cause a temperature increase at an interface between the wafer surface and the electroless plating solution. The temperature increase in turn causes plating reactions to occur at the wafer surface. Material deposited through the plating reactions forms a planarizing layer that conforms to a planarity of the planar member.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: September 1, 2009
    Assignee: Lam Research Corporation
    Inventors: Fred C. Redeker, John Boyd, Yezdi Dordi, William Thie, Bob Maraschin
  • Patent number: 7576015
    Abstract: A method for manufacturing an alignment layer is provided, which includes the following steps. First, a substrate is provided. Next, an auxiliary layer is formed on the substrate. Then, an alignment solution is sprayed on the auxiliary layer through an inkjet printing process. The alignment solution includes an alignment material and a first solvent, and the auxiliary layer has the same polarity as the first solvent. Then, by performing a curing process, the alignment solution is cured to form an alignment layer. As mentioned above, the method for manufacturing an alignment layer may be applied to manufacture an alignment layer with preferred smoothness.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: August 18, 2009
    Assignee: AU Optronics Corp.
    Inventors: Yuan-Hung Tung, Chih-Jui Pan
  • Publication number: 20090203220
    Abstract: In order to reduce an unevenness of a surface of a body, a sacrificial layer is applied to the surface, a chemical-mechanical polishing of the sacrificial layer and material of said body is performed to reduce the unevenness of the surface, and a remainder of the sacrificial layer, if any, may be removed.
    Type: Application
    Filed: February 11, 2008
    Publication date: August 13, 2009
    Inventors: Joern Plagmann, Holger Poehle
  • Patent number: 7553610
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely by way of bringing thusly treated substrate into contact with a remover solution for over 60 seconds.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: June 30, 2009
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Fumitake Kaneko, Yoshiki Sugeta, Toshikazu Tachikawa
  • Publication number: 20090149020
    Abstract: A technology is provided which allows, in a coupling portion obtained by burying a conductive material within a coupling hole bored in an insulating film, the removal of a natural oxide film on the surface of a silicide layer which is present at the bottom portion of the coupling hole. A coupling hole is bored in an interlayer insulating film (first and second insulating films) to expose the surface of a nickel silicide layer at the bottom portion of the coupling hole. Then, reduction gases including a HF gas and a NH3 gas is supplied to the principal surface of a semiconductor wafer to form a product by a reduction reaction, and remove the natural oxide film on the surface of the nickel silicide layer. At this time, the flow rate ratio (HF/NH3 gas flow rate ratio) between the NF gas and the NH3 gas is adjusted to be more than 1 and not more than 5. Preferably, the temperature of the semiconductor wafer is adjusted to be not more than 30° C. Thereafter, a heating process is performed at 400° C.
    Type: Application
    Filed: December 4, 2008
    Publication date: June 11, 2009
    Inventors: Takeshi HAYASHI, Takuya Futase
  • Patent number: 7527188
    Abstract: Alloys of silver and an alloying element that diffuses to the surface of the high conductivity metal and is oxidizable to form an alloying element oxide such as beryllium are provided along with electronic structures employing the alloys and methods of fabrication.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventor: Maria Ronay
  • Patent number: 7482215
    Abstract: A method of forming a dual segment liner covering a first and a second set of semiconductor devices is provided. The method includes forming a first liner and a first protective layer on top thereof, the first liner covering the first set of semiconductor devices; forming a second liner, the second liner having a first section covering the first protective layer, a transitional section, and a second section covering the second set of semiconductor devices, the second section being self-aligned to the first liner via the transitional section; forming a second protective layer on top of the second section of the second liner; removing the first section and at least part of the transitional section of the second liner; and obtaining the dual segment liner including the first liner, the transitional section and the second section of the second liner. A semiconductor structure with a self-aligned dual segment liner formed in accordance with one embodiment of the invention is also provided.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: January 27, 2009
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Thomas W. Dyer, Sunfei Fang, Jiang Yan
  • Patent number: 7455955
    Abstract: The present invention is directed towards contact planarization methods that can be used to planarize substrate surfaces having a wide range of topographic feature densities for lithography applications. These processes use thermally curable, photo-curable, or thermoplastic materials to provide globally planarized surfaces over topographic substrate surfaces for lithography applications. Additional coating(s) with global planarity and uniform thickness can be obtained on the planarized surfaces. These inventive methods can be utilized with single-layer, bilayer, or multi-layer processing involving bottom anti-reflective coatings, photoresists, hardmasks, and other organic and inorganic polymers in an appropriate coating sequence as required by the particular application. More specifically, this invention produces globally planar surfaces for use in dual damascene and bilayer processes with greatly improved photolithography process latitude.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: November 25, 2008
    Assignee: Brewer Science Inc.
    Inventors: Wu-Sheng Shih, James E. Lamb, III, Juliet Ann Minzey Snook, Mark G. Daffron
  • Patent number: 7446054
    Abstract: It is an object of the present invention to provide a method for manufacturing a semiconductor device in which prevention of disconnection due to a step caused by a surface shape before film formation, control of increase in the cost in forming an insulating film over a large-sized substrate, improvement of the usability efficiency of a material, and a reduction in the amount of waste are realized. In the invention, a first insulating film is formed by discharging a composition, a second insulating film is selectively formed over the first insulating film, and an opening is formed by etching the first insulating film by using the second insulating film as a mask. Afterwards, a conductive film is formed by discharging a composition over the opening, and a wiring in a lower layer and a wiring in an upper layer are connected each other with an insulating film therebetween.
    Type: Grant
    Filed: October 25, 2004
    Date of Patent: November 4, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7416985
    Abstract: A multilayer interconnection structure includes a first interlayer insulation film, a second interlayer insulation film formed over the first interlayer insulation film, an interconnection trench formed in the first interlayer insulation film and having a sidewall surface and a bottom surface covered with a first barrier metal film, a via-hole formed in the second interlayer insulation film and having a sidewall surface and a bottom surface covered with a second barrier metal film, an interconnection pattern filling the interconnection trench, and a via-plug filling the via-hole, wherein the via-plug makes a contact with a surface of the interconnection pattern, the interconnection pattern has projections and depressions on the surface, the interconnection pattern containing therein oxygen atoms along a crystal grain boundary extending from the surface toward an interior of the interconnection pattern with a concentration higher than a concentration at the surface.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: August 26, 2008
    Assignee: Fujitsu Limited
    Inventors: Tamotsu Yamamoto, Hirofumi Watani, Hideki Kitada, Hiroshi Horiuchi, Motoshu Miyajima
  • Publication number: 20080166832
    Abstract: As a step in performing a process on a structure, a hole pattern is provided in a thin layer of organic resin masking material formed over the structure to provide a process mask. A processing step is then performed through the openings in the mask, and after a processing step is completed the mask is adjusted by a re-flow process in which the structure is placed into an atmosphere of solvent vapor of a solvent of the mask material. By way of the reflow process, the mask material softens and re-flows to reduce the size of the openings in the mask causing edges of the surface areas on which the processing step was performed to be covered by the mask for subsequent processing steps.
    Type: Application
    Filed: September 9, 2004
    Publication date: July 10, 2008
    Applicant: CSG Solar AG
    Inventors: Trevor Lindsay Young, Rhett Evans
  • Patent number: 7384862
    Abstract: It is an object of the present invention to alleviate unevenness due to an opening for making a contact with the lower layer even when the opening has a large diameter (1 ?m or more). Thus, it is a further object of the invention to reduce defects caused by the unevenness due to the contact hole. It is a feature of the invention to form a wiring by filling the contact hole with conductive fine particles. The conductive fine particles can be easily dispersed into a wiring material by using conductive fine particles having high wettability with the wiring material, thereby making a contact. Thus, planarization of a contact hole can be achieved without performing a reflow process. Further, more planarity can be obtained by performing a reflow process in addition, and the reliability is improved accordingly.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: June 10, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7368393
    Abstract: A method for removing damages of a dual damascene structure after plasma etching is disclosed. The method comprises the use of sublimation processes to deposit reactive material onto the damaged regions and conditions to achieve a controlled removal of the damaged region. Furthermore a semiconductor structure comprising a dual damascene structure that has been treated by the method is disclosed.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: May 6, 2008
    Assignee: International Business Machines Corporation
    Inventors: William G. America, Steven H. Johnston, Brian W. Messenger
  • Publication number: 20080070420
    Abstract: A method of fabricating an image sensor is disclosed, by which etch damage and stress causing dislocation can be reduced in a manner of forming a liner oxide layer and performing thermal hardening simultaneously. A method of fabricating an image sensor according to embodiments may include etching a trench in a semiconductor substrate using a hard mask formed over the semiconductor substrate. A liner oxide layer may be formed within the trench and then densified. Dopant may be implanted into the liner oxide layer. The hard mask may be removed, and the trench may be filled with an insulator, and the insulator planarized.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 20, 2008
    Inventor: Joo-Hyun Lee
  • Publication number: 20080057733
    Abstract: Methods of fabricating a semiconductor integrated circuit device are disclosed. The methods of fabricating a semiconductor integrated circuit device include forming a hard mask layer on a base layer, forming a line sacrificial hard mask layer on the hard mask layer in a first direction, coating a high molecular organic material layer on the line sacrificial hard mask layer pattern, patterning the high molecular organic material layer and the line sacrificial hard mask layer pattern in a second direction, forming a matrix sacrificial hard mask layer pattern, forming a hard mask layer pattern by patterning the hard mask layer with the matrix sacrificial hard mask layer pattern as an etching mask and forming a lower pattern by patterning the base layer using the hard mask layer pattern as an etch mask. The method according to the invention is simpler and less expensive than conventional methods.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Pil CHUNG, Dong-Chan KIM, Chang-Jin KANG, Heung-Sik PARK
  • Patent number: 7338911
    Abstract: A method for forming a structure formed by etching which is typified by a contact hole in the semiconductor and a method for manufacturing a display device using the structure. The etching method includes at least, forming an organic mask having a first opening portion and a second opening portion by patterning an organic film which includes either one of an organic film and a film with the addition of organic solvent and is located on a constituent part to be etched, and forming a transformed organic mask by dissolving the organic mask in contact with organic solvent and reflowing.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: March 4, 2008
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Shusaku Kido
  • Patent number: 7297640
    Abstract: A two-step high density plasma-CVD process is described wherein the argon content in the film is controlled by using two different argon concentrations in the argon/silane/oxygen gas mixture used for generating the high density plasma. The first step deposition uses high argon concentration and low sputter etch-to-deposition (E/D) ratio. High E/D ratio maintains the gap openings without necking. In the second step, a lower argon concentration and lower E/D ratio are used. Since observed metal defects are caused by argon diffusion in the top 200-300 nm of the HDP-CVD film, by controlling argon concentration in the top part of the film (i.e. second step deposition) to a low value, a reduced number of metal defects are achieved.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: November 20, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Xie, Hoon Lian Yap, Chuin Boon Yeap, Weoi San Lok
  • Patent number: 7282456
    Abstract: In accordance with the invention, the structure of a patterned nanoscale or near nanoscale device (“nanostructure”) is repaired and/or enhanced by liquifying the patterned device in the presence of appropriate guiding conditions for a period of time and then permitting the device to solidify. Advantageous guiding conditions include adjacent spaced apart or contacting surfaces to control surface structure and preserve vertically. Unconstrained boundaries to permit smoothing of edge roughness. In an advantageous embodiment, a flat planar surface is disposed overlying a patterned nanostructure surface and the surface is liquified by a high intensity light source to repair or enhance the nanoscale features.
    Type: Grant
    Filed: May 29, 2006
    Date of Patent: October 16, 2007
    Assignee: Princeton University
    Inventors: Stephen Y. Chou, Qiangfei Xia
  • Patent number: 7270886
    Abstract: A spin-on glass (SOG) composition and a method of forming a silicon oxide layer utilizing the SOG composition are disclosed. The method includes coating on a semiconductor substrate having a surface discontinuity, an SOG composition containing polysilazane having a compound of the formula —(SiH2NH)n— wherein n represents a positive integer, a weight average molecular weight within the range of about 3,300 to 3,700 to form a planar SOG layer. The SOG layer is converted to a silicon oxide layer with a planar surface by curing the SOG layer. Also disclosed is a semiconductor device made by the method.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: September 18, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Ho Lee, Jun-Hyun Cho, Jung-Sik Choi, Dong-Jun Lee
  • Patent number: 7235345
    Abstract: It is disclosed an over-coating agent for forming fine patterns which is applied to cover a substrate having photoresist patterns thereon and allowed to shrink under heat so that the spacing between the adjacent photoresist patterns is lessened, further characterized by containing a water-soluble polymer and a surfactant. Also disclosed is a method of forming fine-line patterns using the over-coating agent. According to the invention, one can obtain fine-line patterns which exhibit good profiles while satisfying the characteristics required of semiconductor devices, being excellent in controlling the dimension of patterns.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: June 26, 2007
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Patent number: 7229914
    Abstract: Wiring layers through that come into direct contact with an electrode of a ferroelectric capacitor provide a wiring layer structure configured so that the characteristic of the ferroelectric substance is not degraded by production of a reducing agent. One of coating layers through is provided on the periphery of the Al main wiring layer. A single Ti film or TiN film or a combination of both is used as the coating film. The TiN film suppresses reaction between water and aluminum. The Ti film occludes hydrogen. Therefore, the coating layer provided on the periphery of the Al wiring layer inhibits water or molecular hydrogen from entering the Al wiring layer from the outside and therefore there is no degradation of the characteristics of the ferroelectric capacitor.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: June 12, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Tomomi Yamanobe
  • Patent number: 7208416
    Abstract: The invention provides a simple method of treating a structured surface comprising a higher surface in a first region and a lower surface in the second region. A plurality of layers is deposited on said surface wherein a lower layer exhibits a higher polishing rate than an upper layer and wherein the thickness of the plurality of layers exceeds the step height. Afterwards the plurality of layers is chemically mechanically polished such that the lower layer is at least partly removed in the first region. By this method achieves a better planarization. Additionally, smaller top contact openings after a wet clean step are achievable and a deformation of contact openings due to annealing steps is reduced.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: April 24, 2007
    Assignee: Infineon Technologies AG
    Inventors: Matthias Kroenke, Thomas Dittkrist, Werner Graf
  • Patent number: 7199062
    Abstract: A preferred embodiment of the invention provides a method of spin coating a liquid, such as a resist, onto a surface of a substrate. An embodiment of the invention comprises dispensing a liquid onto the surface; spinning the substrate at a first rotational velocity at least until the liquid forms a substantially uniform film on the surface of the substrate; and spinning the substrate at a second rotational velocity in an opposite direction at least until the liquid reforms a substantially uniform film on the surface of the substrate. Other embodiments include a first rotational acceleration for accelerating the substrate to the first rotational velocity, and a second rotational acceleration for accelerating the substrate to the second rotational velocity. Preferably, the second rotational acceleration is much larger than the first rotational acceleration. Still other embodiments include repeating the first velocity, second velocity sequence one or more times.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: April 3, 2007
    Assignee: Infineon Technologies AG
    Inventor: Yayi Wei
  • Patent number: 7189499
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns with an over-coating agent for forming fine patterns, removing the unwanted over-coating agent that has been deposited on the edge portions and/or the back side of the substrate, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely. The invention provides a method of forming fine patterns which has high ability to control pattern dimensions and provide fine patterns that have a satisfactory profile and satisfy the characteristics required of semiconductor devices, with an additional capability of preventing the occurrence of particles which are a potential cause of device contamination.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: March 13, 2007
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Patent number: 7189659
    Abstract: A method for fabricating a semiconductor device comprises the step of depositing an insulation film 32a with a first pressure set in a deposition chamber; the step of gradually decreasing the pressure in the deposition chamber to a second pressure which is lower than the first pressure; and the step of further depositing the insulation film 32b with the second pressure set in the deposition chamber. The insulation film is deposited with the first pressure a little lower than a second pressure set in a deposition chamber, and the insulation film is further deposited with the second pressure lower than the first pressure set in the deposition chamber. Furthermore, the insulation film is not deposited in the state where the pressure in the deposition chamber is extremely low, and an atmosphere in the deposition chamber is unstable. Thus, a semiconductor device having the insulation film with a sufficiently flat surface can be fabricating without using reflow process.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: March 13, 2007
    Assignees: Fujitsu Limited, Spansion LLC
    Inventors: Yoshimasa Nagakura, Hideaki Ohashi
  • Patent number: 7183172
    Abstract: A method of forming an SOI semiconductor substrate and the SOI semiconductor substrate formed thereby, is provided. The method includes forming sequentially buried oxide, diffusion barrier and SOI layers on a semiconductor substrate. The diffusion barrier layer is formed by an insulating layer having a lower impurity diffusion coefficient as compared with the buried oxide layer. The diffusion barrier layer serves to prevent impurities implanted into the SOI layer from being diffused into the buried oxide layer or the semiconductor substrate.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Il Lee, Geum-Jong Bae, Ki-Chul Kim, Hwa-Sung Rhee, Sang-Su Kim
  • Patent number: 7157331
    Abstract: Methods and apparatuses are disclosed relating to blocking ultraviolet electromagnetic radiation from a semiconductor. Ultraviolet electromagnetic radiation, such as ultraviolet electromagnetic radiation generated by a plasma process, which may otherwise damage a semiconductor can be blocked from one or more layers below an ultraviolet blocking layer.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: January 2, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Chien Hung Lu, Chin Ta Su
  • Patent number: 7153731
    Abstract: A method of forming a field effect transistor includes forming a channel region within bulk semiconductive material of a semiconductor substrate. Source/drain regions are formed on opposing sides of the channel region. An insulative dielectric region is formed within the bulk semiconductive material proximately beneath at least one of the source/drain regions. A method of forming a field effect transistor includes providing a semiconductor-on-insulator substrate, said substrate comprising a layer of semiconductive material formed over a layer of insulative material. All of a portion of the semiconductive material layer and all of the insulative material layer directly beneath the portion are removed thereby creating a void in the semiconductive material layer and the insulative material layer. Semiconductive channel material is formed within the void. Opposing source/drain regions are provided laterally proximate the channel material. A gate is formed over the channel material.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: December 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Todd R. Abbott, Zhongze Wang, Jigish D. Trivedi, Chih-Chen Cho
  • Patent number: 7115468
    Abstract: A semiconductor device and a fabricating method for the same are disclosed, in which when forming a capacitor sacrificial film pattern, even if a misalignment occurs, the degradation of the dielectric property due to a direct contact between the contact plug and the dielectric medium can be prevented. The semiconductor device includes a connecting part connected through an insulating layer of a substrate to a conductive layer, a seed separating layer formed around the connecting part and the insulating layer to provide an open region exposing at least part of the connecting part, a seed layer filled into the open region of the seed separating layer and a capacitor. The capacitor includes of a lower electrode formed upon the seed layer, a dielectric medium formed upon the lower electrode, and an upper electrode formed upon the dielectric medium.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: October 3, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung-Bok Choi
  • Patent number: 7087506
    Abstract: A method of providing a freestanding semiconductor layer on a conventional SOI or bulk-substrate silicon device includes forming an amorphous or polycrystalline mandrel on a monocrystalline base structure. A conformal polycrystalline semiconductor layer is then formed on the mandrel and on the base structure, wherein the polycrystalline layer contacts the base structure. The polycrystalline semiconductor layer is then recrystallized so that it has a crystallinity substantially similar to that of the base structure. Thus, a freestanding semiconductor layer is formed with a high degree of control of the thickness and height thereof and maintaining a uniformity of thickness.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Brent A Anderson, Edward J Nowak, BethAnn Rainey
  • Patent number: 7078296
    Abstract: Self-aligned trench MOSFETs and methods for manufacturing the same are disclosed. By having a self-aligned structure, the number of MOSFETS per unit area—the cell density—is increased, making the MOSFETs cheaper to produce. The self-aligned structure for the MOSFET is provided by making the sidewall of the overlying isolation dielectric layer substantially aligned with the sidewall of the gate conductor. Such an alignment can be made through any number of methods such as using a dual dielectric process, using a selective dielectric oxidation process, using a selective dielectric deposition process, or a spin-on-glass dielectric process.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: July 18, 2006
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Duc Chau, Becky Losee, Bruce Marchant, Dean Probst, Robert Herrick, James Murphy
  • Patent number: 7070659
    Abstract: Explosive forces are used to fill interconnect material into trenches, via holes and other openings in semiconductor products. The interconnect material may be formed of metal. The metal may be heated prior to the force filling step. The explosive forces may be generated, for example, by igniting mixtures of gases such as hydrogen and oxygen, or liquids such as alcohol and hydrogen peroxide. To control or buffer the explosive force, a baffle may be interposed between the explosions and the products being processed. The baffle may be formed of a porous material to transmit waves to the semiconductor products while protecting the products from contaminants. Various operating parameters, including the flow rate of the fuel and the oxidizing materials, may be positively controlled. In another embodiment of the invention, a piston is used to transmit the explosive force. If desired, an annular space at the periphery of the piston may be maintained at atmospheric pressure to protect against wafer contamination.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: July 4, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Scott E. Moore
  • Patent number: 7060633
    Abstract: A method of planarizing a layer of an integrated circuit. In one embodiment, a liquid film is applied over the layer, using extrusion coating techniques. In another embodiment, the layer itself may be applied as a liquid film, using extrusion techniques.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: June 13, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Michael F. Brenner
  • Patent number: 7060197
    Abstract: In a mass flow sensor having a layered structure on the upper side of a silicon substrate (1), and having at least one heating element (8) patterned out of a conductive layer in the layered structure, thermal insulation between the heating element (8) and the silicon substrate (1) is achieved by way of a silicon dioxide block (5) which is produced beneath the heating element (8) either in the layered structure on the silicon substrate (1) or in the upper side of the silicon substrate (1). As a result, the sensor can be manufactured by surface micromechanics, i.e. without wafer back-side processes.
    Type: Grant
    Filed: June 8, 2002
    Date of Patent: June 13, 2006
    Assignee: Robert Bosch GmbH
    Inventors: Matthias Fuertsch, Frank Fischer, Lars Metzger, Frieder Sundermeier
  • Patent number: 7060623
    Abstract: A method of deforming a pattern comprising the steps of: forming, over a substrate, a layered-structure with an upper surface including at least one selected region and at least a re-flow stopper groove, wherein the re-flow stopper groove extends outside the selected region and separate from the selected region; selectively forming at least one pattern on the selected region; and causing a re-flow of the pattern, wherein a part of an outwardly re-flowed pattern is flowed into the re-flow stopper groove, and then an outward re-flow of the pattern is restricted by the re-flow stopper groove extending outside of the pattern, thereby to form a deformed pattern with at least an outside edge part defined by an outside edge of the re-flow stopper groove.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: June 13, 2006
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Shusaku Kido
  • Patent number: 7041578
    Abstract: A method for treating an area of a semiconductor wafer surface with a laser for reducing stress concentrations is disclosed. The wafer treatment method discloses treating an area of a wafer surface with a laser beam, wherein the treated area is ablated or melted by the beam and re-solidifies into a more planar profile, thereby reducing areas of stress concentration and stress risers that contribute to cracking and chipping during wafer singulation. Preferably, the treated area has a width less than that of a scribe street, but wider than the kerf created by a wafer dicing blade. Consequently, when the wafer is singulated, the dicing blade will preferably saw through treated areas only. It will be understood that the method of the preferred embodiments may be used to treat other areas of stress concentration and surface discontinuities on the wafer, as desired.
    Type: Grant
    Filed: July 2, 2003
    Date of Patent: May 9, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Mahle, Peter J. Sakakini
  • Patent number: 6982217
    Abstract: A structure having projections is provided. The structure having projections comprises a first projection formed on a first layer containing a first material, and a plurality of second projections formed around the first projection and containing a material capable of being subjected to anodic oxidation.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: January 3, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Aya Imada, Tohru Den
  • Patent number: 6982223
    Abstract: A method of manufacturing a semiconductor device by which a generation of a void is prevented after depositing an interlayer dielectric material. First, a plurality of conductive patterns are formed on a substrate and then, a capping insulation layer is formed on the conductive patterns. The capping insulation layer is treated with plasma, and an interlayer dielectric material is deposited on the plasma treated capping insulation layer. The dependency of the interlayer dielectric on the type of material and form of an underlying layer is reduced to improve a gap-filling characteristic, especially for a gap having a high aspect ratio. An improved gap-filling characteristic is accomplished and the formation of all or substantially all of the voids from forming in a gap is prevented even though an interlayer dielectric is deposited under a conventional deposition conditions.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: January 3, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Ju-Wan Kim, Shin-Hye Kim, Ju-Bum Lee, Hyong-Soo Kim
  • Patent number: 6958298
    Abstract: A method for thinning a wafer by placing a wafer having a protective tape attached to the front side thereof, on which chip circuits have been fabricated, on a working table in such a manner that the protective tape is intervened between the wafer and the working table, and grinding the back side of the wafer to thin it, the method comprising, prior to the thinning by grinding, adhering the beveled portion at the front side of the wafer to the protective tape. The adhesion is preferably effected by a material exhibiting a modulus of elasticity of 0.1 to 100 MPa at the state of the adhesion of the beveled portion to the protective tape. As the material for the adhesion, an acrylic resinous material of the UV-curing type can be used.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: October 25, 2005
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Kei Murayama
  • Patent number: 6927160
    Abstract: A copper-containing layer suitable for an electrical interconnect in a device such as an integrated circuit is created by a procedure in which a trench (104) is formed through a dielectric layer (102) down to a substrate (100). A diffusion barrier (106) is provided over the dielectric layer and into the trench. Copper (108) is deposited over the diffusion barrier and into the trench. Chemical mechanical polishing is utilized to remove the copper outside the trench down substantially to the diffusion-barrier material overlying the dielectric layer. A sputter etch, typically of the reactive type, is then performed to substantially remove the diffusion-barrier material overlying the dielectric layer. The sputter etch typically removes copper above and/or in the trench at approximately the same rate as the diffusion-barrier material so as to substantially avoid the undesirable dishing phenomenon.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: August 9, 2005
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 6926818
    Abstract: A method of forming a bump structure through the use of an electroplating solution, comprising the following steps. A substrate having an overlying conductive structure is provided. A patterned dry film resist is formed over the conductive structure. The patterned dry film resist having a trench exposing a portion of conductive structure. The patterned dry film resist adhering to the conductive structure at an interface. The structure is treated with a treatment that increases the adherence of the patterned dry film resist to the conductive structure at the interface. A conductive plug is over the exposed portion of the conductive structure within the trench through the use of the electroplating solution. The increased adhesion of the patterned dry film resist to the conductive structure at the interface preventing the electroplating solution from penetrating the interface of the patterned dry film resist and the conductive structure during the formation of the conductive plug.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: August 9, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yih-Ann Lin, Tung-Heng Shie, Kai-Ming Ching, Sheng-Liang Pan, Kuo-Liang Lu